Entities
LogoName Σ Employees
Blinksight Blinksight

BlinkSight, creator and leader of the Indoor Positioning Systems (IPS) proposes a unique smart geolocation solution combining an ultra-precise localization (up to 10 cm) in real time and in 3D, with long range and long-life autonomy. Being wireless, it is ultra-mobile and can be very easily implemented. This allows BlinkSight solution to be adapted to every implementation configuration. The BlinkSight solution is composed not only of physical elements (beacons, tags) but also of a software suite, which allows the visualization and the exploitation of the gathered data. It is based on a radio pulse technology which guarantees a precise response …

Type: Startup Activities: telecommunications Technologies: Semiconductors

0 0 0 1
ICP Search ICP Search

Executive Search and Recruitment Partner for the Advanced Technology, Media, Sports and HCM markets globally. ICP Search is an international executive search and talent consultancy providing solutions to the advanced technology, media, sport and human capital management (HCM) markets. Our team of specialist consultants work in partnership with the globes best technology disrupters to strengthen recruitment pipelines, support recruitment strategy and source the best talent from across the globe. Driven by the incredible passion we have for making a difference, we provide a dedicated and consultative approach to absolutely everything we do. Inspired by the innovative industries we work within, …

Type: SMB Activities: spacetech HRtech IT Services e-commerce fintech Games healthtech Media nanotech Technologies: Semiconductors A.I. Cybersecurity Data Analytics IoT SaaS

0 0 0 25
Docea Power Docea Power

Docea Power is the leading provider of virtual prototyping solutions to model, explore power and thermal behavior of electronic systems. Docea’s innovative solutions are able to simulate and validate power/thermal management strategies before actual Hardware and Software is available. Docea enables IP, SoC or Board designers to make the right energy-performance-cost trade-off and achieve their specifications. An overall power savings of up to 80% along with a reduction of integration and validation time by 75% can be reached by using Docea’s solutions. The company has its headquarters in the French Silicon Valley, near Grenoble, France, offices in San Jose, CA, …

Type: SMB Activities: manufacturing Technologies: Semiconductors IoT

0 0 0 2
BeSpoon BeSpoon

inch-level tracking BeSpoon is a fabless semiconductor company. We cracked the individual positioning problem. Our chips can track items or individuals within a few centimeters... over long ranges. To achieve that, we measure the time of flight of a ultra wide band signal with a precision of 125 picoseconds! This opens fantastic opportunities to monitor assets, enable precise indoor location and ultimately keep track of all our belongings on our phones. Ultra Wide Band, Indoor location, Real-Time Location System, UWB, and Precise Positioning

Type: Startup Activities: deeptech nanotech Technologies: Semiconductors

0 0 0 10
sensichips sensichips

Looking far... sensichips - microsensors for health and safety Humans coordinate multiple senses for optimal recognition, and so should electronics systems. Sensichips brings together a unique combination of expertise in materials science, analytical chemistry and precision analog microelectronics to engage in the development of a next generation microsensors platform. Our miniature sensors will include diverse sensor types, signal conditioning, acquisition electronics and synergistic coordination to improve recognition, in a single microchip. Sensichips' technology achieves superior chemical recognition by combining high sensitivity with high selectivity with the incorporation of new, rugged synthetic receptor materials. The technology will be packaged into elementary …

Type: Startup Activities: deeptech nanotech Technologies: Semiconductors New Materials Sensors

0 0 0 9
LoMaRe Technologies Ltd. LoMaRe Technologies Ltd.

Fabless Semiconductor Company, spin-off from Imperial College London, to commercialise PMRAM - an emerging non-volatile memory technology.

Type: Startup Activities: deeptech nanotech Technologies: Semiconductors

0 0 0 9
Exagan Exagan

INTELLIGENT GAN POWER SOLUTIONS Exagan is a leading supplier of Gallium Nitride based transistor devices for power supply, electrical automotive, solar panel and industrial application. With a unique and proprietary technology, Exagan is accelerating transition of power electronics industry towards more efficient production and conversion systems to reduce CO2 emission, by enabling large scale adoption of GaN on Silicon power technology. Semiconductors, Power electronics, Gallium Nitride, and Electrical converter

Type: Startup Activities: deeptech nanotech Technologies: Semiconductors Solar Technologies

5 5 0 8
NEXVISION SAS NEXVISION SAS

NEXVISION is a electronic design house, specialized in computer vision devices. Markets : situational awareness/gyro-stabilized optronics for ground and unmanned air vehicle/drones, aerospace vision devices/UAV, security/defense (night vision, mixed reality HMSD/HUD, 360° vision), autonomous vehicle/Advanced Driver Assistance System (ADAS), extreme sport video camera, cinema/broadcast mobile video camera, slow-motion cam, transport safety, industrial inspection/machine vision, microscopy, digital signage, network video surveillance/CCTV, submarine vision. Technologies : Computer Vision, Video processing, Video analysis, High speed hardware design, Image sensor multispectral band (UV, Visible, SWIR, LWIR, Terahertz), Night Vision (EBCMOS, SCMOS sensors), Optronics (EO), 1080p60/4K H264 H265/HEVC compression/RTSP streaming, FPGA (Xilinx kintex7 Ultrascale+), DSP, …

Type: SMB Activities: IT Services Technologies: Semiconductors Drones VR A.I. A.I. - Image Processing Embedded Systems Sensors Wireless

3 1 0 22
eVaderis eVaderis

eVaderis is the first semiconductor IP company offering solutions based on new disruptive embedded MRAM. The company’s broad portfolio of IP going from specialized memory, memory compiler, logic library and optimized MCU, helps customers to efficiently solve their design challenges in a wide range of markets: IoT, mobile, wearable, infrastructure. eVaderis headquarter is located in Grenoble area, France. Semiconductor IP, Physical Design, System Design, Embedded NVM, EDA, Software, Memory, Processor, Logic, Low Power, Normally-Off, Instant-On, IoT, Wearable, MCU, and MRAM

Type: Startup Activities: deeptech nanotech Technologies: Semiconductors IoT

0 0 0 0
Enthought Enthought

Powering #digitaltransformation for science to enable faster discovery and continuous innovation. Enthought powers digital transformation for science. Our technology and deep scientific expertise enable faster discovery and continuous innovation. We build your digitally enabled workforce and arm them with analytics-ready scientific data to be catalysts of value creation in science and business. Scientific Computing, Custom Application Development, Technical Computing, Data Visualization and Analysis, Python (Programming Language), Machine Learning, Artificial Intelligence, Python Training, Digital Transformation, Deep Learning, Life Sciences, Bioscience, Polymers, Geoscience, Modeling & Simulation, Data Strategy, Life Sciences, Materials Science, Chemistry, and Semiconductors

Type: SMB Activities: deeptech Consulting biotech Technologies: A.I. A.I. - Deep Learning A.I. - Machine Learning Semiconductors

1 0 0 75
DR YIELD DR YIELD

Increasing yield for semiconductor manufacturers with our powerful Big Data and AI analytics software. DR YIELD is the innovative leader in yield analytics. Our software, YieldWatchDog, is the smart, flexible and high-performance solution for semiconductor data visualization and automated process control. YieldWatchDog: yield management with data integration, advanced analysis and enhanced visualization of all chip manufacturing and test data. It is capable of recognizing patterns and automatically notifies you if any irregularities occur. Using patented data aggregation technology and advanced algorithms, YieldWatchDog quickly integrates even large amounts of data into one large-scale, highly compressed database. YieldWatchDog’s user-friendly and intuitive interface …

Type: SMB Activities: deeptech manufacturing nanotech Technologies: Semiconductors Data Analytics A.I. - Machine Learning A.I. A.I. - Deep Learning

0 0 0 10
Crocus Technology Crocus Technology

Advancing Intelligence in Sensing Crocus Technology develops and supplies magnetic sensors based on its revolutionary and patented XtremeSense® TMR technology. Crocus’ magnetic sensors bring significant advantages to Industrial and Consumer Electronic applications requiring extremely high sensitivity, industry leading consistency of sensitivity over wide temperature range, low power and very low noise. The key product lines are Position Sensors (Switch, Linear and 2D positions), Current Sensors and customized modules. We are headquartered in Santa Clara, California, with a strong engineering site in Grenoble, France and sales and support offices worldwide. Visit our website for more details. Semiconductor, Sensors, Sensing, TMR, Hall …

Type: SMB Activities: deeptech manufacturing nanotech Technologies: Semiconductors Sensors Wireless

1 1 0 76
Salcon International Salcon International

Business development for small medium sized enterprises (SME's) in the field of microelectronics, micro optics and semiconductors. Increasing complexity and requirements for enterprises regarding marketing and sales becomes more and more an issue for many entrepreneurs. A positive development can be enabled while using the correct system and knowledge. The right systematic approach and meaningful and institutionalized processes can solve up to 90% of these organizational issues. The correct implementation can be easy. Salcon will be more than happy to assist. Strategy development, Sales and marketing strategies and structures, Organizational development, Service strategies and structures, Image building, and Social marketing

Type: Incubators & VCs Media Technologies: Semiconductors

0 0 0 1
Titan IC Titan IC

accelerate your search and analytics Titan IC is a world leader in the development of hardware accelerated intellectual property for cyber Security Analytics Acceleration (SAA) and content processing. Titan IC works with national security agencies and cybersecurity appliance OEMs as well as cloud computing providers. Our technology is designed for high throughput low latency Deep Packet Inspection (DPI) applications that require packet payload inspection which can be achieved using regular expression (RegEx) pattern matching and string matching. We enable intrusion detection and security information and event management systems to search for thousands of cyber threat signatures in parallel and at …

Type: Startup Activities: IT Services Technologies: Cybersecurity Semiconductors

0 0 0 2
i-Micronews i-Micronews

Turn knwoledge into value | All you need to know about semiconductors industry. Micronews Media, powered by Yole Développement, ensures you the best visbility in the disruptive semiconductor community. With our services, we help you to reach your customers worldwide with the media products they prefer, including our website, e-newsletter, webcasts and magazines. Reach the decision makers'​ network for disruptive semiconductor technologies and make sure you and your customers are part of Yole Développement's network. Micronews Media services are the most efficient way to develop your business. With our 2015 program & services,you will: • Attract targeted sales leads • …

Type: Media Technologies: Semiconductors

1 1 0 1
MonolithIC 3D Inc. MonolithIC 3D Inc.

MonolithIC 3D Inc. is an IP company with operations in Silicon Valley, Romania and Israel. It invented and developed a practical path to the monolithic 3D Integrated Circuit, which includes multiple derivatives for Logic, Memory and Electro Optic devices. 3D IC

Type: Startup Activities: deeptech nanotech Technologies: Semiconductors

2 0 0 6
IM Flash IM Flash

IM Flash is Now Micron. IM Flash is a joint venture between Intel and Micron and we are the only company in the world making 3D XPoint, a new transistor-less chip, which is the first of its kind. You can find 3D XPoint in Intel Optane solid state drives (SSD), which are used by data centers and high end computers. Come learn more about IM Flash. There are no limits to the opportunities ahead! We are making the memory that makes the world mobile. IM Flash combines the best from Intel and Micron - Intel’s multi-level cell technology and history …

Type: SMB Activities: IT Services Technologies: Semiconductors

0 0 0 748
NANOZ NANOZ

THE SMALLEST SELECTIVE GAS SENSOR Applications have no limit other than man’s ability to innovate! Nanoz has developed a gas sensor for an Ozone sensor IOT, our ambition is to become an innovative leader in MOx and Opto sensors with a range of innovative patented products based on breakthrough technologies. We focus on pre-manufacturing and developing a range of innovative gas sensors. We have developed a global patent for selective gas detection sensors (MOx on Silicon). Since 2012, CNRS/IM2NP researchers and Nanoz teams have been collaborating to make a cost-effective gas sensor for widespread use that is accurate, does not …

Type: Startup Activities: manufacturing Technologies: IoT Sensors Semiconductors Wireless

2 1 0 10
Acal BFi Acal BFi

Tomorrow's technologies - Explore the possibilities We are a leader in advanced solutions for tomorrow’s technologies with a mission to empower innovators of electronic applications to turn ideas and designs into exceptional products. Established for over 50 years, we partner with leading and innovative manufacturers to offer a comprehensive range of specialised products and solutions, covering a broad spectrum of the latest technologies. Our portfolio spans interconnect, sensors, communications, photonics, imaging, magnetic components, power supplies, and embedded computing​. In addition to top technologies, we complement these with invaluable engineering expertise as well as in-house capabilities in design, manufacturing, and supply …

Type: Startup Activities: manufacturing Technologies: Embedded Systems Semiconductors Sensors Wireless

0 0 0 253
Tensoft Tensoft

Supply Chain, Revenue Recognition & ERP Solutions for the Semiconductor, High Tech and Software Industries Tensoft, Inc. specializes in innovative, end-to-end business management solutions for the semiconductor, high tech and software industries. These focused technology industry solutions have been created by converting broad, horizontal ERP functionality to the specialized needs of the technology industry. Founded in 1996, Tensoft delivers results tailored specifically to each customer's needs. The company sells its products directly to customers and partners with established firms in the U.S., Canada, and Europe to re-sell and provide local support for its products. Here’s what few of our customers …

Type: SMB Activities: IT Services Technologies: SaaS Semiconductors

1 1 0 19
CEITEC S.A CEITEC S.A

Iniciativa que garante o domínio tecnológico completo da fabricação de chips no país. CEITEC S.A., established in 2008 is located in Porto Alegre Brazil and is government-funded through the Ministry of Science and Technology. CEITEC S.A. primary goal is to develop the nation’s microelectronics industry. The companies’ charter is to create a solid foundation in semiconductors development, design and sales of products to meet the high demand in Brazil and also in South America. microeletrônica and chips

Type: Public Activities: deeptech nanotech Technologies: Semiconductors

0 0 0 116
Newport Corporation Newport Corporation

Enabling Technologies that Transform our World Newport is a brand within the MKS Instruments Photonics Solutions division. The Newport product portfolio consists of a full range of solutions including precision motion control, optical tables and vibration isolation systems, photonic instruments, optics and opto-mechanical components. Our innovative Newport solutions leverage core expertise in vibration isolation and sub-micron positioning systems and opto-mechanical and photonics subsystems, to enhance our customers’ capabilities and productivity in the semiconductor, electronics and packaging, and specialty industrial markets. At Newport and we are dedicated to continuously evolving our knowledge and experience in order to deliver innovative products and …

Type: SMB Activities: Industry 4.0 Technologies: Semiconductors

0 0 0 744
Moortec Semiconductor Limited Moortec Semiconductor Limited

Moortec is now part of Synopsys. Please follow us on our new channel. Moortec is now part of Synopsys. Please follow us on our new channel. Analog IP, Mixed Signal, IP Vendor, Temperature Sensors, Embedded Monitoring, PVT Sensors, Process Monitors, Voltage Monitors, In-Chip Monitoring Subsystem, 28nm, FinFET, 16nm, 7nm, Advanced Node, PVT Monitoring, Gate Density, DVFS, AVS, and Timing Closure

Type: SMB Activities: deeptech manufacturing nanotech Technologies: Semiconductors Sensors

0 0 0 2
Texplained Texplained

Secure Integrated Circuit Reverse Engineering For Embedded Data Extraction, Exploration & Analysis Texplained specializes in Secure Integrated Circuits (ICs) Reverse Engineering and data extraction. Thanks to its custom automated reverse engineering tool -ChipJuice- Texplained performs accurate hardware in-depth analyses, helping its customers gather extensive knowledge on ICs and fight against crime and piracy thanks to its capacities in data extraction from encrypted devices. Thereby, based on its expertise and technology, Texplained offers several services & Solutions such as: - IC Reverse Engineering tools - Hardware studies: * Hw & Fw Extraction (Forensics, Security assessment, etc.) * Security Evaluation * Techonological …

Type: Startup Activities: deeptech nanotech Technologies: Semiconductors

1 0 0 5
EDRI EDRI

The IT Electronics Eleventh Design & Research Institute Scientific & Technological Engineering Corporation Limited( EDRI) is Chinese reputational Design& Construction Engineering company headquarter in Chengdu city, China. Established in 1964, EDRI is now among Chinese Top 50 Design Institute and famous EPC Engineering company. With over 30 branches located throughout China, Shanghai, Beijing, Tianjin, Chongqing, Shenzhen, Nanjing, Suzhou, Wuxi, Wuhan, Qingdao, Shenyang, Dalian, Xian etc enable us conduct localized services for our clients. Our 3,000 professional employees of different disciplines showed their creative, passion and enthusiasm on vast of challengeable projects. EDRI has earned a reputation for providing solutions to …

Type: SMB Activities: constructiontech fintech Technologies: Semiconductors Solar Technologies

0 0 0 134
Ambiq Ambiq

endpoint intelligence Ambiq® was founded in 2010 on the simple yet powerful notion that extremely low-power semiconductors are the key to the future of electronics. Through the use of pioneering ultra-low power technology, innovative companies around the world are developing differentiated solutions that reduce or eliminate the need for batteries, reduce overall system power and maximize industrial design flexibility. Ambiq Micro has developed breakthrough technology based on its patented Subthreshold Power Optimized Technology (SPOT®) platform that dramatically reduces the amount of power consumed by semiconductors, thus making its integrated circuits (ICs) an ideal solution for energy-critical applications. Fabless Semiconductor, Ultra …

Type: Startup Activities: deeptech nanotech Technologies: Semiconductors A.I. - Deep Learning A.I. Batteries IoT Wireless

4 1 0 225
Socionext Europe Socionext Europe

for better quality of experience Socionext was formed on March 1, 2015, from the merger of the LSI semiconductor business of Fujitsu and Panasonic. Socionext’s Headquarters are based In Yokohama, Japan and have offices in Japan, Asia, USA & Europe to lead its product development and sales activities. A new and innovative company, Socionext designs, develops and manufactures system-on-chip solutions for customers worldwide. The The company focuses on technologies that drive today's leading-edge applications in automotive and industrial markets as well as advanced 5G networking. Combining first-class know-how, experience and an extensive IP portfolio Socionext is able to deliver exceptional …

Type: Startup Activities: nanotech deeptech manufacturing Technologies: A.I. - Image Processing 5G A.I. Semiconductors Wireless

0 0 0 126
Silvaco Inc Silvaco Inc

Silvaco Inc. is a leading EDA tools and semiconductor IP provider used for process and device development for advanced semiconductors, power IC, display and memory design. For over 30 years, Silvaco has enabled its customers to develop next generation semiconductor products in the shortest time with reduced cost. We are a technology company outpacing the EDA industry by delivering innovative smart silicon solutions to meet the world’s ever-growing demand for mobile intelligent computing. The company is headquartered in Santa Clara, California and has a global presence with offices located in North America, Europe, Japan and Asia. 2D/3D TCAD, SPICE modeling …

Type: SMB Activities: Consulting IT Services legaltech Technologies: Semiconductors

0 0 0 259
IC Manage IC Manage

Fastest path to hybrid cloud scale out using existing on-premise workflows. Highest performance design & IP management. IC Manage provides Design & IP Management, Big Data Analytics, and HPC Scale Out I/O & Cloud Bursting software. GDP Design & IP Management -- IC Manage GDP enables companies to efficiently and reliably manage single and multi-site hardware and software development efforts. We bring Git into the semiconductor realm with GDP XL-git hybrid version control. Envision Big Data Analytics -- Envision Design Progress analytics lets you capture resource utilization on a project or directory/library basis for efficient schedule & resource management. Envision …

Type: SMB Activities: legaltech IT Services Technologies: Data Analytics Semiconductors

1 0 0 40
FOCUSSIA FOCUSSIA

Smart Manufacturing solutions to upgrade semiconductor equipment and Fabs - SECS/GEM & E84 standards experts Focussia is a software engineering company focussed on the semiconductor industry. We develop innovative automation and connectivity solutions to upgrade semiconductor factories and equipment to Smart Manufacturing : - SmartGem : An innovative SEMI SECS/GEM integration solution and gateway (E4, E5, E30, E37, E39, E40...). - SmartBoxE84 : A complete SEMI E84 integration solution to upgrade equipment and vehicle to E84 standards - SmartPassThrough : An innovative and robust communication solution to extend SECS protocol capabilities and enable Data Collection or Equipment Automation. - Sideloader …

Type: Startup Activities: IT Services Technologies: Semiconductors

0 0 0 5
Makino Europe GmbH Makino Europe GmbH

Promise of Performance Since 1930, Makino has been widely known as an innovator in one of the most challenging markets, the machine tool industry. With continuous technology breakthroughs, we have shaped the manufacturing landscape and our objective is to be at the forefront of innovation. With more than 4.400 employees worldwide we strive to assess manufacturers' challenges and deliver the best technical solutions for your unique production needs. To properly understand these needs, in Makino Europe GmbH we are proud to stay close to our customers with offices in France, Spain, Germany, Italy, Poland and Slovakia. Our wide range of …

Type: SMB Activities: Industry 4.0 Technologies: Semiconductors

0 0 0 121
Simco-Ion, Technology Group Simco-Ion, Technology Group

Innovative Ionization Equipment and Solutions for ESD and ESA Simco-Ion Technology Group, a division of Illinois Tool Works (ITW), the world's largest manufacturer of static control products, has provided solutions to electrostatic issues in a wide range of industries since 1936. Long-established in engaging and partnering with customers and suppliers, we built our reputation on developing innovative solutions, competitively superior products, and outstanding customer support to service our customers' needs. Simco-Ion, a global leader in static control, is an ISO 9001 registered firm. We welcome the opportunity to serve you. Our Approach Our approach is to understand unique customers' needs …

Type: SMB Activities: IT Services manufacturing Technologies: Semiconductors

0 0 0 20
Dycem Contamination Control Dycem Contamination Control

Effective, Efficient & Sustainable Contamination Control Mats. Dycem® contamination control mats are the world’s leading solution for controlling contamination at floor level. Our mats attract, capture, and retain up to 99.9% of contamination, and up to 75% of airborne contamination, preventing it from entering controlled environments. With 80% of contaminants entering controlled environments at the floor level, our solution plays a vital role in safeguarding companies against the risk of contamination. Dycem contributes to preserving quality standards, optimizing product yield, enhancing operational efficiency, ensuring health and safety, and protecting company reputations. Our contamination control mats are tailored to a diverse …

Type: SMB Activities: biotech agritech deeptech nanotech Technologies: Semiconductors

0 0 0 109
BraneCell BraneCell

Quantum Communications (QSDC), Molecular Tags and Spacetime Probes BraneCell has developed a new qudit. (A qudit is like a qubit with higher-dimensional entanglement; dense coding; more information per photon read). Our quidit is a new type, molecular-based, with analogy to some natural processes. We have shown Hadamard and other transformations emitting entangled photons. We use the new molecular qudits for QSDC (quantum direct communications) and "spacetime probes" (for example quantum radar) and a new type of scanner (not yet unveiled). We have several applied and granted patents on these themes. DOD and DARPA have vetted our work. We apply our …

Type: SMB Activities: deeptech IT Services nanotech Technologies: Semiconductors

0 0 0 4
Sasken Technologies Limited Sasken Technologies Limited

Engineering next-gen solutions, from Chip to Cognition Sasken is a pioneer in Product Engineering and Digital Transformation delivering concept to market and chip to cognition R&D solutions to customers across the semiconductor, automotive, industrial, consumer electronics, enterprise devices, satellite communications, telecom, and transportation domains. Through the last thirty years, Sasken’s deep engineering capabilities and technology patents have helped transform over a hundred Fortune 500 clients and powered more than a billion digital devices from its state-of-the-art facilities in India, Finland, Germany, and Japan. Sasken has always been at the forefront of technology in the cellular modem, radio access network, and …

Type: Large company Technologies: Semiconductors

0 0 0 3,186
Chroma ATE Europe Chroma ATE Europe

Better Test Solutions for All Applications Founded in 1984, Chroma ATE Inc. is a world-leading supplier of precision Test and Measurement Instrumentation, Automated Test Systems, Manufacturing Execution Systems, and Turnkey Test & Automation Solutions marketed globally under the brand name "Chroma"​. Significant markets Chroma serves include; LED, Photovoltaic, Li-Battery, Electric Vehicle (EV/EVSE), Semiconductor/IC, Laser Diode, Flat Panel Display, Video and Colour, Power Electronics, Passive Component, Electrical Safety, Thermoelectric Testing, Automated Optical Inspection and Manufacturing Execution Systems. Test and Measurement Instrumentation, Automated Test Systems, Flat Panel Display/ Video & Color Test Solutions, Power Electronics Test Solutions, Passive Component Test Solutions, Electrical …

Type: SMB Activities: manufacturing Technologies: Semiconductors Solar Technologies

0 0 0 38
Cavium Inc Cavium Inc

We are excited to announce that Marvell Semiconductor has acquired Aquantia! Follow Marvell: http://bit.ly/LN-Marvell Cavium (NASDAQ: CAVM) is a provider of highly integrated semiconductor processors that enable intelligent networking, communications, storage, video and security applications. Cavium offers a broad portfolio of integrated, software compatible processors ranging in performance from 1Gbps to 100Gbps that enable secure, intelligent functionality in Enterprise, Data-Center, Broadband/Consumer and Access and Service Provider equipment. Cavium’ processors are supported by ecosystem partners that provide operating systems, tools and application support, hardware reference designs and other services. Cavium’s principal offices are in San Jose, California with design team locations …

Type: Large company Activities: deeptech nanotech Technologies: Semiconductors

6 0 0 844
Ambibox GmbH Ambibox GmbH

DC Technology Young engineering meets industrial manufacturing We are a young company from Mainz, Germany, developing advanced solutions for decentralized energy systems. Our team consists of experts from the automotive, renewable energy and semiconductor manufacturing sectors and are very experienced. As specialists in the field of power electronics with extensive laboratory and testing technology, we have developed a DC modular system consisting of hardware and software components. This enables us to realize our vision of a sustainable energy supply that is decentralized, highly efficient and economical. We offer both complete functional products and customizable components, as well as our knowledge …

Type: Startup Activities: cleantech greentech IT Services manufacturing Technologies: Embedded Systems Semiconductors

0 0 0 22
Line One Sales Line One Sales

Line One specialises in supporting UK/Ireland based businesses with the state of the art technology and build programmes; from the simplest modern semiconductor products to the more complex sub assemblies. Our clients are in every market segment such as metering and instrumentation through to security and motion control. Metering and Medical

Type: SMB Activities: healthtech Technologies: Semiconductors

0 0 0 8
Wintegra Wintegra

On November 18, 2010 - Wintegra was acquired by PMC-Sierra http://www.pmc-sierra.com/network-processors/ http://www.wintegra.com/news/2010/11/pmc-sierra-announces-the-completion-of-the-wintegra-acquisition Wintegra is a leading provider of access processing semiconductors and software for next generation telecommunication infrastructure solutions. Wintegra has families of network processors and production grade software for a wide range of communication applications such as DSL; PON; 2G, 3G and LTE Wireless Infrastructure; Carrier Ethernet; and WiMAX. www.wintegra.com LTE, TD-SCDMA, WCDMA, WiMAX, DSL, PON, 2G, Wireless Infrastructure, and Carrier Ethernet

Type: SMB Activities: deeptech nanotech Technologies: Semiconductors

7 0 0 22
Partstat Partstat

We buy inventory so you don't have to. Partstat is a supply chain solutions company. We buy inventory so our customers don't have to. Leverage our purchasing power to improve free cash flow, reduce inventory, and lower annual inventory carrying costs. Supply Chain Solutions, Obsolescence Management , Last Time Buy Solutions, Last Time Buy Purchases, Business Continuity, Die Banking, Wafer Banking, Global Custom Fulfillment, BOM Monitoring, Allocation, Semiconductor Vault Storage, Semiconductor Storage, Long-Term Storage, EOL Last Time Buy Solutions, Inventory Ownership, Inventory Management, and Buffer Stock

Type: SMB Activities: IT Services transporttech Technologies: Semiconductors

0 0 0 26
OptimalPlus is now NI OptimalPlus is now NI

OptimalPlus is the established leader of lifecycle analytics for the electronics industry and its entire supply chain. The OptimalPlus open platform pairs unprecedented visibility into the electronics lifecycle with advanced data science and domain expertise to enhance production metrics and ensure overall product reliability. OptimalPlus is trusted by many of the world’s leading electronics players, including Qualcomm, NXP, and Nvidia. For more information, please visit http://www.optimalplus.com. Big Data Analytics, Semiconductor and Electronic, Lifecycle analytics, reliable electronics, product lifecycle, product DNA, and Supply chain visibility

Type: SMB Activities: transporttech Technologies: Semiconductors Data Analytics

0 0 0 78
HAP2U HAP2U

Next-Gen haptics for automotive ! hap2U designs and develops a patented technology to enhance experiences in the digital world by integrating the sense of touch in tactile devices. A new, haptic universe is now possible with the world’s most reliable haptic technology, using materials and manufacturing processes well-known in the semiconductor industry. Founded in 2015, hap2U has built on several years of research and development, with more than 80 global patents in hardware and software based on the science of haptics. The team, based in Grenoble, France, brings complementary expertise in material science, haptics, acoustics, embedded systems, electronics, software development, …

Type: Startup Activities: uxtech Games IT Services manufacturing Technologies: Embedded Systems Semiconductors Sensors

0 0 0 17
Vivimed Labs Limited Vivimed Labs Limited

The Beauty of Chemistry Established in 1991 in Hyderabad, India, Vivimed Labs has metamorphosed from a domestic small, entrepreneurial family-operated business to a globally renowned supplier of niche molecules and formulations across Healthcare, Pharmaceuticals and Specialty Chemicals consumers in nearly 50 countries. Driven by our philosophy of using chemistry as a base to create ingredients which touch human life on a day to day basis, Vivimed Labs delivers world-class products across the various segments we serve. The active ingredients manufactured by us are the preferred ingredients in Home & Personal care segments such as hair, skin, oral care and anti-microbial …

Type: SMB Activities: manufacturing Technologies: Semiconductors

0 0 0 580
Delta Impact PCBs Delta Impact PCBs

We are committed to staying at the forefront of innovation and offering our customers cutting-edge PCB solutions. Delta Impact are a globally recognised PCB supplier. Headquartered in the UK, our global reach extends far beyond borders, connecting clients with top-tier manufacturers worldwide. Whether you're navigating the intricacies of PCB sourcing, seeking tailored technical solutions, or simply looking to streamline your PCB supply chain process, our team leverages three decades of industry experience to deliver excellence at every step. ELEVATED EXPERTISE Most market-leading businesses have expertise that gives them the edge. We’re the same. Our technical prowess is what draws clients …

Type: SMB Activities: transporttech manufacturing Technologies: Semiconductors

0 0 0 24
IEC (International Electrotechnical Commission) IEC (International Electrotechnical Commission)

IEC everywhere for a safer and more efficient world The IEC (International Electrotechnical Commission) brings together 170 countries, and more than 20,000 experts cooperate on the global IEC platform to ensure that products work everywhere safely with each other. The IEC is the world's leading organization that prepares and publishes globally relevant International Standards for the whole energy chain, including all electrical, electronic and related technologies, devices and systems. The IEC also supports all forms of conformity assessment and administers four Conformity Assessment Systems that certify that components, equipment and systems used in homes, offices, healthcare facilities, public spaces, transportation, …

Type: NGO, Think-tanks, Ed, & Culture Activities: healthtech Technologies: Semiconductors

0 0 0 963
Comet Yxlon Comet Yxlon

Led by experience. Driven by curiosity. Led by experience. Driven by curiosity. Good solutions start with good questions. At Comet Yxlon, our curiosity and passion for technology are the drivers behind our collaborative approach in product development. Based on customer feedback, we develop high-end X-ray and CT system solutions that enable non-destructive testing in the semiconductor/electronics, automotive and aerospace industries – from R&D labs to production settings. With our headquarters in Hamburg, sales and service locations inSan Jose (California), Yokohama, Shanghai, Hsinshu (Taiwan) and Suwon (Korea), as well as a network of representatives in over 50 countries, Comet Yxlon serves …

Type: SMB Activities: Industry 4.0 manufacturing Technologies: Semiconductors

0 0 0 292
Aledia Aledia

Born from the scientific laboratories of the prestigious CEA, deeptech Aledia is today at the forefront of a technological revolution. After a decade of innovation and development, we are shaping the future of display with our 3D WireLEDTM technology. We aim to be the undisputed global leader in MicroLED for next-generation displays by combining technological innovation and manufacturing excellence. We envision a future where our disruptive WireLEDTM technology equips a multitude of devices, making the visual experience more intense and realistic. Visit our website at www.aledia.com to know more ! light

Tags: FrenchTech120 Type: Startup Activities: deeptech manufacturing Technologies: Semiconductors

13 9 0 213
Bob Giddy Bob Giddy

A supplier of low power, high performance processor technology, services and soltions. ClearSpeed enables customers to transform their applications by lowering energy requirement and enabling software defined products. ClearSpeed Technology Ltd is a semiconductor company, formed in 2002 to develop enhanced SIMD processors for use in High-performance computing and Embedded systems. Based in Bristol, UK, the company has been selling its processors since 2005. Its current 192 core CSX700 processor was released in 2008. Silicon SIMD Processor Engineering Design

Type: SMB Activities: deeptech nanotech Technologies: Embedded Systems Semiconductors

0 0 0 9
LEUKOS LEUKOS

Leading manufacturer of supercontinuum lasers and microchip lasers for scientific and industrial applications LEUKOS is a supercontinuum source designer and manufacturer headquartered in Limoges, France. Since 2006, we have provided companies and researchers around the world with compact supercontinuum sources with relevant spectrum for their applications. Created in February 2006, LEUKOS is a start-up company born from XLIM laboratory, a mixed research unit (UMR 6172) from the French CNRS and the University of Limoges (FRANCE-87). The company was founded by pioneers of supercontinuum generation to develop brand new compact supercontinuum laser based on their expertise in nonlinear optics. LEUKOS team …

Type: SMB Activities: deeptech manufacturing Technologies: Semiconductors

11 3 0 18
SUDFLUOR SUDFLUOR

A new approach to fluorine chemistry Specialized in fluorine chemistry and innovation, SUDFLUOR tames the tiger of chemistry for you. Founded in 2013, we address high-tech markets such as Aerospace & aeronautics, semiconductors, energy, pharmaceutic and agrochemical chemistry. Because fluorine has become essential to modern life, we have a duty to develop ways of using it without impacting our living environment. At SUDFLUOR, we are committed to developing more sustainable technologies through environmentally-friendly innovations. fluoration directe, traitement de surface, synthèse de fluorures inorganiques, analyse et caractérisation de matériaux, Fluorures de métaux réfractaires, fluoride, direct fluorination, surface treatment, coating, fluoroproduct, Recherche …

Type: Startup Activities: cleantech greentech manufacturing Technologies: Semiconductors Solar Technologies

0 0 0 6
Probion Analysis Probion Analysis

Your material analysis expert Probion Analysis is an independent company established in 1998 and located near Paris (France). We offer a material analysis service for semiconducting, metallic and insulating materials, providing support for industry and research. We have extensive experience in analysis of semiconducting and insulating materials with a special expertise in semiconductors: Silicon, III-V (including III-N) and II-VI. We can also analyse the insulating or metallic layers which are routinely associated to semiconductor devices and more generally, we will consider any type of request concerning analysis of solid samples (metallic alloys, glasses, coatings and thin films ...). With a …

Type: SMB Activities: deeptech Technologies: Semiconductors

0 0 0 7
CALY Technologies CALY Technologies

Specialty Silicon Carbide (SiC) Devices for Advanced Protection and Power Conversion applications We at CALY Technologies are devoted to the development and commercialization of innovative specialty wide bandgap (WBG) semiconductor devices, targeting highly demanding Advanced Protection and Power applications including: • Lightning, Transient and Short-circuit Protection • Smart Grid • Advanced and High-efficiency Power Conversion • Motor Driving Served markets comprise Aerospace, Transportation, Power Grid, Industrial and Residential. CALY Technologies offers off-the-shelf products, custom design, as well as characterization and qualification services. Innovative Wide Bandgap (WBG) devices for High Voltage and High Power applications, Protection Devices and Applications, and Silicon …

Type: Startup Activities: deeptech nanotech Technologies: Semiconductors Sensors

0 0 0 6
Global Manufacturing Network Global Manufacturing Network

Global Manufacturing Network provides contract manufacturing, sourcing and logistics for products and components with a focus on items with special engineering requirements. With capabilities in metal fabrication, wire harness production, precision plastics, die casting and precision machining, our certified global supply chain can provide lot sizes ranging from job shop for capital equipment to high volume for special technology oriented consumer goods. metal fabrication, wire harness, assembly, subassembly, plastics, rubber, cable, machining, die casting, interconnect components, forging, sand casting, sintering, wire bending, forming, extrusion, stamping, high tech, medical, work truck, semiconductor, and industrial equipment

Type: SMB Activities: transporttech Technologies: Semiconductors

0 0 0 2
GOODIX Technology INC. GOODIX Technology INC.

Goodix Technology (603160.SH) is an integrated solution provider for applications based on IC design and software development. We provide industry-leading software and hardware semiconductor solutions for smart devices, IoT applications, and automotive electronics. Our commitment to being global leaders in our markets is demonstrated by acquiring the best talents around the world and aggressively investing in R&D. We are dedicated to breaking through boundaries of technological innovation within sensing, processing, connectivity and security, empowering a connected, intelligent world. Striving to become a world-leading comprehensive IC design company, we are committed to deliver greater unique values to global customers, partners, and …

Type: SMB Activities: deeptech IT Services Technologies: IoT Semiconductors Sensors

5 0 0 699
4Volts Electronic Engineering 4Volts Electronic Engineering

Putting the spark into product development 4Volts is an independent design service developing efficient electronic product. We provide turnkey solutions, taking product from inception to completion. Think of us as a strategic partner for all your electronic development and manufacturing needs. Analogue, Digital & RF System design, Industrial & Consumer Product, Embedded Microprocessor Control, and Power efficient, energy start compliant product

Type: Startup Activities: manufacturing Technologies: Embedded Systems Semiconductors Wireless

2 2 0 3
Strategy Analytics is now TechInsights Strategy Analytics is now TechInsights

Follow TechInsights for the latest in semiconductor market research Strategy Analytics, Inc. is a global leader in supporting companies across their planning lifecycle through a range of syndicated and customized consumer and market research solutions. Our multi-discipline capabilities include: industry research advisory services, consumer insights, user experience design and innovation expertise, mobile consumer on-device tracking and business-to-business consulting competencies. With domain expertise in: smart devices, connected cars, intelligent home, service providers, IoT, strategic components and media, Strategy Analytics can develop a solution to meet your specific planning need. For more information, visit us at www.strategyanalytics.com market research, insights, analysis, forecasts, …

Type: SMB Activities: martech Technologies: IoT Semiconductors

0 0 0 52
XTPL XTPL

Innovations in additive manufacturing developed by XTPL enable ultra-precise printing of nanomaterials. XTPL is a company operating in the nanotechnology market segment. We are developing & commercializing a globally innovative technology, protected by international patent applications, that enables ultra-precise printing of nanomaterials. Our solution – due to its platform character – will be used in a wide printed electronics sector & provide low-cost, simple, additive manufacturing method for high-tech devices. The technology will facilitate the production of a new generation transparent conductive films (TCF) used in manufacturing of i.e. monitors, touch screens, photovoltaic cells & displays – where XTPL can …

Type: SMB Activities: nanotech Technologies: 3D Printing Semiconductors Sensors Solar Technologies

1 1 0 105
INPHENIX, Inc. INPHENIX, Inc.

Enabling Your Business with Customized Scientific Light Sources, Amplifiers and Detectors 700-1700nm InPhenix Corporation is a leading developer and manufacturer of Indium-Phosphide (InP) and Gallium Arsenide (GaAs) based active optoelectronic chips, devices and modules for the telecom, datacom, defense, biomedical and industrial markets. InPhenix's products include Semiconductor Optical Amplifiers (SOAs), Superluminescent Light Emitting Diodes (SLDs), Fabry-Perot Lasers and Photodetectors. InPhenix's world-class team of experts offers complete design and manufacturing capability as well as outstanding technical and product development support. InPhenix offers a complete ISO 9001:2000 registered solution for all of your InP and GaAs foundry services needs. Our Superluminescent Light …

Type: SMB Activities: deeptech Technologies: Semiconductors

0 0 0 31
ATMI ATMI

ATMI is a global leader in the development of process solutions for the semiconductor and life science industries. Whether it’s a green chemistry used in flash memory manufacturing, game-changing equipment for the safe delivery of toxic ion implant gases, or a revolutionary mixing system for the manufacturing of pharmaceutical compounds, ATMI is leading the way. We combine broad expertise in science and engineering with the latest tools and technologies enabling rapid development and scale-up of new solutions to meet the needs of today’s high-technology marketplace. ATMI promotes a culture that engages employees and fosters growth and development, while offering compensation …

Type: SMB Activities: biotech deeptech Technologies: Semiconductors

0 0 0 59
blueqat blueqat

[Automatic translation follows] Quantum Computing Company quantum computers, machine learning, semiconductors, python, GPU, CUDA, and deep learning

Type: Startup Activities: IT Services Technologies: A.I. A.I. - Deep Learning A.I. - Machine Learning Semiconductors

2 1 0 17
EDEN Instruments EDEN Instruments

[Automatic translation follows] EDEN Instruments is a high-tech company, specializing in the marketing and technical monitoring of equipment for the sector of electron microscopy (MEB and TEM) and micro-X-ray fluorescence (Micro-XRF) to visualize and analyze materials at the level of the infinitely small. The vocation of EDEN Instruments is to offer academics, industrialists and scientific platforms a global technical solution for imaging and analysis with great compatibility between all In-Situ/in-Operando and Ex-Situ equipment used for electron microscopy. The areas of application are innumerable, mainly with the advent of new Nano technologies, both in the Materials sector and in the Life …

Type: Startup Activities: nanotech deeptech Technologies: New Materials Semiconductors

1 1 0 3
SemiElectronics SemiElectronics

SemiElectronics is an online resource used by modern electrical engineers to say on the leading-edge of semiconductor and electronics technology. The electronics industry is ever-evolving: features enhance, new technology comes to market, reference designs are created, and it's daunting for even the most ambitious engineer to keep up. Built and maintained by applications engineers with connections to many of the major manufacturers, distributors, and insiders in the industry, SemiElectronics cuts the clutter of the Internet by providing relevant information, carefully categorized by product, application, and manufacturer. This relevant information is delivered non-intrusively to a thriving electrical engineering community connected through …

Type: Startup Activities: IT Services manufacturing Technologies: Semiconductors

0 0 0 3
ROHM Co., Ltd. ROHM Co., Ltd.

Electronics for the Future Founded in 1958, ROHM provides LSI and discrete semiconductors characterized by outstanding quality and reliability for a broad range of markets, including automotive, industrial and consumer market via its global development and sales network. In the power & analog field, ROHM proposes the suitable solution for each application with power devices such as SiC, driver ICs to maximize their performance, and peripheral components such as transistors, diodes and resistors. Further information on ROHM can be found at www.rohm.com Semiconductors

Type: SMB Activities: manufacturing Technologies: Semiconductors

0 0 0 1,094
AWR Design Environment AWR Design Environment

Advancing the Wireless Revolution The wireless revolution that brought smartphones and wifi-enabled everything to you is largely thanks to AWR software. Our design tools are what microwave and RF engineers use to design wireless products....from base stations to cellphones to satellite communications. We accelerate the design and product development cycle of high-frequency ICs and systems found within the aerospace/defense, semiconductor, computer, consumer electronics, and telecommunications markets by reducing the time it takes from concept thru to manufacturing. The AWR software advantage is simple: An intuitive use model that delivers an exceptional user experience An open design flow that supports 3rd …

Type: SMB Activities: deeptech Technologies: Semiconductors

0 0 0 122
RAFA Solutions RAFA Solutions

Control and automation of smart machinery RAFA Solutions is a systems integrator company specializing in Smart Machines and data acquisition/control systems. It provides Robust and Flexible Advanced Solutions for machinery control and automation. Established in 2012 our company holds the status of National Instruments Silver Alliance Partner and is professional using NI technologies. We provide electronics design and software development services for material testing and semiconductor testing industries. Our clients are the machine builders who tend to be always innovative in their technologies and build the smartest machines in their field of application. Other type of clients are companies, who …

Type: Startup Activities: Industry 4.0 Technologies: New Materials Semiconductors

0 0 0 1
Maiple Maiple

Cutting-edge Artificial Intelligence for Accurate Forecasts, Actionable Insights and Anomaly Detection. We focus on building Artificial Intelligence solutions that will make a sustainable difference in Semiconductor Manufacturing

Type: Startup Activities: IT Services Technologies: A.I. Semiconductors

0 0 0 3
Components Corporation Components Corporation

Components Corporation is the global leader in the design, manufacture and engineering of precision printed circuit card edge connectors, printed circuit test points, interconnect and testing devices, preform jumpers and hardware, and battery contacts. We remain committed to solving the industry’s most demanding interconnect and testing challenges with high-quality and innovative products for the broadest range of mission-critical applications. Our industrial, military, commercial and consumer customer base have come to rely on our in-house tooling capability to ensure the excellence and economy of products regardless of the quantity required. Our products are marketed through a worldwide network of sales representatives …

Type: SMB Activities: manufacturing Technologies: Semiconductors

0 0 0 8
Wispry Wispry

Tunable RF-MEMS Solutions #WeAreWiSpry WiSpry innovates in RF-MEMS to create tunable and switchable RF solutions. WiSpry is one of the pioneers in the RF-MEMS industry, and have accumulated extensive expertise and know-how, centered in Irvine, CA. RF-MEMS solutions bring performance advantages to the RF Front-End (RFFE), enabling enhanced communication. Founded in 2002 by early MEMS innovators, WiSpry today is an advanced fabless semiconductor company, with specialist skillsets in mixed signal, CMOS, MEMS, fabrication, and packaging. WiSpry was acquired by AAC Technologies in 2015, and as a wholly owned subsidiary, WiSpry continues to innovate and solve some of the toughest industry …

Type: SMB Activities: IT Services Technologies: Semiconductors

0 0 0 15
Cooper Engineering Ireland Limited Cooper Engineering Ireland Limited

Cloud Infrastructure, Datacenter tech, Data Analytics, AI, ML, DL, HPC, SAAS for FinTech Retail Utility Manufacturing. Cooper Engineering provides Enterprise Data Analytics Infrastructure Management Solutions for FinTech, IOT, IIoT, Edge & Fog Computing, AI, Machine Learning, BI and CDP applications. We also provide a wide range of Datacenter Colocation & ITAD services for our Datacenter clients including micro-datacenters edge-compute datacenters. Cooper Engineering provides business development, systems integration & platform support services in Europe for global SAAS, IAAS & Datacenter providers including Treasure Data, MagicCube, ITRenew, Seeq Corporation, H5 Datacenters, ServerDome, Instant Datacenters, SixGill, Catavolt & Behaviosec. Cooper Engineering automates secure, …

Type: SMB Activities: IT Services Technologies: A.I. A.I. - Machine Learning Cybersecurity Data Analytics IoT SaaS Semiconductors

0 0 0 4
Signage Rocket Signage Rocket

Digital signage platform for most demanding businesses made easy Signage Rocket is a powerful digital signage platform built with the user in mind. It helps businesses: - DISPLAY any digital message on any number of screens in seconds - MONITOR large networks of displays remotely & in real-time & in any location - DEPLOY custom web applications to screens instantly, no matter whether it’s just a simple web content, or the most complex data-driven application Main features: - Data-driven & real time - Built with the user in mind = easy to use - Large network management - Fast & …

Type: Startup Activities: IT Services Technologies: SaaS Semiconductors

0 0 0 2
CyberOptics CyberOptics

CyberOptics was acquired by Nordson Corporation in November 2022. Nordson TEST & INSPECTION offers its customers a robust product portfolio, including Acoustic, Optical and Manual X-ray Inspection, Autonomous X-ray Inspection, X-ray Component Counting and Nordson X-ray Technologies. Nordson TEST & INSPECTION is uniquely positioned to serve its customers with best-in-class precision technologies, passionate sales and support teams, global reach, and unmatched consultative applications expertise. Electronics Assembly Inspection, Semiconductor Products, Precision Optical Sensors, and 3D Scanning and Metrology

Type: SMB Activities: manufacturing Technologies: Semiconductors

0 0 0 164
Precision Micro Precision Micro

Market-leading chemical etching supplier providing precision metal component solutions to exacting specifications. For 60 years, Precision Micro has been one of the world’s foremost specialists in photochemical etching, every year producing more than 60,000,000 precision-etched components for leading names in over 30 countries. From high-spec, high-performance alloys for aerospace and automotive applications to medical-grade titanium components within life-saving equipment, every part is produced to specification, free from stresses and burrs. This relies on the benefits of chemical etching – but it also relies on a unique fusion of art and science; combining creativity and imagination with scientific focus to deliver …

Type: SMB Activities: Industry 4.0 Technologies: Semiconductors

0 0 0 109
SCHURTER Group SCHURTER Group

The world's leading Swiss technology company The SCHURTER Group is a globally successful Swiss technology company. With our components ensuring the clean and safe supply of power, input systems for easeof use and sophisticated overall solutions, we impress our customers with agility and excellent product and service quality. As a leading innovator, SCHURTER manufactures and distributes components for circuit protection, as well as connectors, switches and EMC products. In close cooperation with the customers, input systems are developed and manufactured. SCHURTER also offers services for the electronics industry in the field of printed circuit board assembly. The business unit Solutions …

Type: SMB Activities: manufacturing Technologies: Semiconductors

0 0 0 263
GreenPeak Technologies GreenPeak Technologies

GreenPeak has been acquired by Qorvo. Please start following the LinkedIn Qorvo page for posts about ultra-low power wireless communication chips for Smart Home application: https://www.linkedin.com/company/qorvo . GreenPeak is a leading fabless semiconductor company offering innovative ultra-low power wireless data communication controller chips for consumer electronics and wireless sense and control applications for Smart Home applications and the Internet of Things. GreenPeak’s award-winning, innovative technology is based on the IEEE 802.15.4/ZigBee wireless networking standard. GreenPeak is located in The Netherlands, Belgium, France, Korea, China, India and USA. => GreenPeak - Building the Smart Home! Follow us on Twitter https://twitter.com/QorvoInc IEEE …

Type: SMB Activities: constructiontech smart home Technologies: IoT Semiconductors Wireless

2 1 0 12
VeriSilicon Holdings Co., Ltd. VeriSilicon Holdings Co., Ltd.

Silicon Platform as a Service (SiPaaS) VeriSilicon Microelectronics (Shanghai) Co., Ltd. (VeriSilicon, 688521.SH) is committed to providing customers with platform-based, all-round, one-stop custom silicon services and semiconductor IP licensing services leveraging its in-house semiconductor IP. Under the unique "Silicon Platform as a Service" (SiPaaS) business model, depending on the comprehensive IP portfolio, VeriSilicon can create silicon products from definition to test and package in a short period of time, and provides high performance and cost-efficient semiconductor alternative products for fabless, IDM, system vendors (OEM/ODM), large internet companies and cloud service provider, etc. VeriSilicon's business covers consumer electronics, automotive electronics, computer …

Type: SMB Activities: legaltech telecommunications Technologies: A.I. IoT Semiconductors

2 0 0 494
ioTech ioTech

Digital multi-material solutions for electronics mass manufacturing ioTech has developed a unique disruptive multi-material additive manufacturing technology that can process almost any industry certified material at high resolution and high speed, enabling full scale digital production. Manufacturers can use their standard industrial materials, control the deposition of each single drop and reach unmatched production yields. The speed and capacity of the technology deliver complete commercial solutions across multiple industries and hold great potential for mass-manufacturing applications such as semiconductor packaging and printed circuit boards, manufacturing and assembly. No need to purchase special inks, just use your own certified industrial materials. …

Type: Startup Activities: Industry 4.0 manufacturing Technologies: 3D Printing Semiconductors

0 0 0 30
BIBUS GmbH BIBUS GmbH

[Automatic translation follows] As a technical trading and service company, we see ourselves as consultants, problem solvers and solution providers for your tasks and/or applications. Our highly qualified and experienced team finds, develops and produces special solutions together with our national and international partners, from just one piece to series production. In addition to standard components, our product portfolio includes innovative, sometimes unique products from the areas of pneumatics, mechatronics and environmental technology. This means that with us you have one contact person for a wide range of solutions, which saves you a lot of time. We want to support …

Type: SMB Activities: Industry 4.0 Technologies: Semiconductors

0 0 0 22
SHI Cryogenics Group SHI Cryogenics Group

Creating a Better Tomorrow Through Innovative Solutions SHI Cryogenics Group, an integral part of the Precision Equipment Division of Sumitomo Heavy Industries, Ltd., is a leading worldwide provider of innovative cryogenic and vacuum solutions to the medical, semiconductor, flat panel, general coating and research industries. With offices in Asia, Europe and the United States, it has been producing quality cryogenic equipment for over 50 years. SHI's renowned engineering departments continue to focus on the latest cryogenic and vacuum technologies, including innovative cryopump and cryocooler designs. Cryogenics, Medical Devices, Semiconductor, Research and Development, Cryocoolers, Cryopumps, and Helium Compressors

Type: SMB Activities: deeptech healthtech manufacturing Technologies: Semiconductors

0 0 0 65
CERES Technology Advisors, Inc. CERES Technology Advisors, Inc.

Merger & Acquisition Advisory with a Focus on Photonics CERES provides M & A advisory services to growth companies employing photonics to serve a wide range of markets - from life sciences to consumer electronics to advanced manufacturing - Sell-side M & A Advisory - Buy-side M & A Advisory - Business Valuation Our value to our clients is the breadth and depth of our network and knowledge of high potential technology markets: - Optics, Photonics & Lasers - Life Sciences & Biophotonics - Laser Material Processing & 3-D Printing - Imaging - Display - Semiconductor Equipment - 3D Sensing …

Type: SMB Activities: fintech Technologies: Robotics Semiconductors

0 0 0 3
Tignis Tignis

The AI Process Control Company Tignis offers a ready-to-use machine learning service to enable manufacturers and operators to continually improve physical assets and processes. Insights are provided in real-time so changes can be made even while systems are in use. Our service enables you to quickly identify today’s most critical issues, predict future problems before they occur and to optimize performance. Our customers do not need to build and maintain machine learning and specialized software teams. We offer Tignis as a service in the cloud or as an edge computing solution so customers can easily use machine learning and AI …

Type: Startup Activities: IT Services Technologies: A.I. A.I. - Deep Learning A.I. - Machine Learning Data Analytics IoT SaaS Semiconductors

1 1 0 27
MEECO, Inc. MEECO, Inc.

The Most Trusted & Innovative Name in Trace Gas Analysis Since 1948, MEECO has produced durable, high-quality gas analyzers for industry. Chief among our customers are semiconductor manufacturers and their suppliers, as well as natural and industrial gas producers and their distributors, utilities, and chemical and petrochemical companies and the makers and users of ultra-high-purity gas. Headquartered in Warrington, PA, MEECO was founded by Dr. Gustav Bergson, a Harvard trained physicist. trace moisture analyzers, gas analyzers, ultra high purity gas, high purity gas, Industrial Gas Analyzers, Natural Gas Analyzers, Medical Gas, Medical Gas Analyzers, Semiconductor Bulk Gases, Semiconductor Gas Analyzers, …

Type: SMB Activities: deeptech manufacturing Technologies: Semiconductors

0 0 0 28
Mitsubishi Chemical Advanced Materials Mitsubishi Chemical Advanced Materials

Making ambitious ideas possible! A leading global manufacturer of high-performance thermoplastics and composites. Mitsubishi Chemical Advanced Materials is a leading global manufacturer of high-performance thermoplastic materials in the form of semi-finished products and finished parts. As the Advanced Materials division of the Mitsubishi Chemical Group (MCG), we’re proud of our heritage and global network of resources, expertise, and knowledge. We help bring ambitious ideas to life across a wide range of applications and industries. From aeronautics to bioprocessing, our extensive international team is comprised of industry experts who collaborate with customers to find the right advanced materials, products, and technologies …

Type: SMB Activities: deeptech manufacturing Technologies: Semiconductors New Materials

0 0 0 735
H+E Group I HAGER + ELSÄSSER® H+E Group I HAGER + ELSÄSSER®

Water is our most precious resource and that is exactly how we treat it. Industrial Water and Wastewater Treatment. H+E Group are one of the leading manufacturers in plant engineering for industrial water treatment, wastewater purification and water recovery. Over more than 90 years, the company has successfully realised many plants in different markets. Our industrial portfolio ranges from Semiconductor & Solar, Food & Beverage, to Power Plants and Chemistry. In addition to the headquarter in Stuttgart H+E has offices in United Kingdom, Ireland, Italy and Singapore. The VISION of HAGER + ELSÄSSER ® “If fresh water becomes process water …

Type: SMB Activities: cleantech greentech Water management Technologies: Semiconductors

0 0 0 84
Anametric, Inc. Anametric, Inc.

Quantum Photonics for Cybersecurity (formerly Bra-Ket Science) Anametric is developing new technologies and devices for chip scale quantum photonics, with a focus on cybersecurity. The company enjoys a close working relationship with Southern Methodist University (SMU) in Dallas, Texas. Together, we are commercializing innovative devices based on the SMU team’s advanced research along with Anametric’s deep experience in semiconductor design and cybersecurity.

Type: Startup Activities: biotech deeptech Technologies: Semiconductors Cybersecurity

0 0 0 7
Palo Alto Research Center (PARC) Palo Alto Research Center (PARC)

PARC (Palo Alto Research Center is a research and development company with a distinguished reputation for its contributions to information technology and hardware systems. Founded in 1970 as a division of Xerox, PARC has been in large part responsible for such developments as laser printing, Ethernet, the modern personal computer, graphical user interface (GUI) and desktop paradigm, object-oriented programming, ubiquitous computing, electronic paper, amorphous silicon (a-Si) applications, and advancing very-large-scale integration (VLSI) for semiconductors. HISTORY In 1969, Chief Scientist at Xerox Jack Goldman approached George Pake, a physicist specializing in nuclear magnetic resonance and provost of Washington University in St. …

Type: SMB Activities: deeptech Technologies: Semiconductors A.I.

1 1 0 10
NordAmps NordAmps

Delivering the Next Generation of Transistors NordAmps is a Lund based nanotechnology startup delivering the next generation of transistors. Our nanowire technology represents the convergence of 20 years of research with leading-edge application needs. Designed for high-frequency wireless communication, our nanowire transistors are fabricated by combining well-established technologies and materials with a minimalistic design in a completely new and innovative way. At NordAmps, our nanowire transistor technology gives you the performance of III-V and the manufacturability of silicon. We offer a design kit containing compact models and library files for high-frequency-band 5G applications and beyond. Learn more about NordAmps on …

Type: Startup Activities: nanotech deeptech Technologies: Semiconductors Wireless

1 1 0 10
Cortus SAS Cortus SAS

Cortus designs IC devices from simple MCUs to high-end SoCs drawing on its broad IP portfolio which includes processors. Cortus is a fabless semiconductor manufacturer group headquartered in Mauguio (near Montpellier, France) with subsidiaries in Italy, Greece and Spain. Cortus designs IC devices from simple MCUs to high-end SoCs drawing on its broad IP portfolio which includes processors 32/64-bit (Cortus ISA and RISC-V ISA), digital, analog, mixed-signal, RF and security IPs. Cortus own IP portfolio addresses applications such as Automotive, Consumer, IoT/NB-IoT, Edge Computing and Industrial control. Over 13 billion devices have been manufactured using Cortus know-how. Cortus is a …

Type: SMB Activities: deeptech manufacturing Technologies: IoT Wireless Semiconductors

1 1 0 44
Tiempo Secure Tiempo Secure

We are a Deeptech Cybersecurity Enabler Embedded security systems will pave the way for data privacy and application protection in an ever-evolving connected world. In a world where technological progress is increasing and connectivity possibilities are infinite, the associated cyberattacks are increasing in number and intensity. Managing threats to data privacy and application protection has never been so critical or strategic. We believe that vulnerabilities can be reduced by adopting a security-by-design approach, with embedded security solutions. We endeavor to provide silicon/ASIC providers with world-class innovative embedded security solutions, in the form of certified Secure Enclaves & IP, to create …

Type: Startup Activities: IT Services Technologies: Cybersecurity Semiconductors IoT

9 3 0 27
HEIDENHAIN HEIDENHAIN

Mastering nanometer accuracy DR. JOHANNES HEIDENHAIN GmbH develops and produces linear encoders, angle encoders, rotary encoders, and CNC controls for demanding positioning tasks. Rounding out its product portfolio are touch probes, camera systems, length gauges, digital readouts, signal converters, testing devices, and inspection devices. What's more, its practical software solutions for the Digital Shop Floor empower end users to fully digitalize their manufacturing ecosystem. For more than 130 years, the company has been a standard-setting pioneer in measurement, control, and drive system technology. HEIDENHAIN products often lay the groundwork for technological advances in the most innovative global industries, including semiconductors, …

Type: SMB Activities: Industry 4.0 Technologies: Semiconductors

1 1 0 434
Edmund Optics Inc Edmund Optics Inc

For over 80 years Edmund Optics has been a leading producer of optics, imaging, and photonics technology. Edmund Optics has been a leading supplier of precision optics and optical components since 1942, designing and manufacturing a wide array of multi-element optical lenses, lens coatings, imaging systems, and optomechanical equipment. Led by a staff of skilled optical engineers and scientists, Edmund Optics is application focused and pursues new ways to implement optical technology, enabling advancements in industrial metrology, semiconductor manufacturing, life sciences, and more. Edmund Optics is a worldwide presence in industrial optics extending well beyond our original manufacturing plant and …

Type: SMB Activities: deeptech Technologies: Semiconductors

1 1 0 562
GrAI Matter Labs GrAI Matter Labs

AI at the Speed of Life At GrAI Matter Labs we are in the business of Life-Ready AI. Artificial Intelligence as close to natural as it gets. AI that feels alive. We deliver brain-inspired chips that behave like humans. AI that make machines assisting humans act, and react, in real time. AI that optimizes energy and maximizes efficiency, saving time, money, and vital natural resources. GrAI Matter Labs (aka GML) was founded in 2016 as Brainiac within the iBionext Start-up Studio in Paris by Ryad Benosman, Bernard Gilly, Giacomo Indiveri, Xavier Lagorce, Sio-Hoi Leng, Bernabe Linares-Barranco and Atul Sinha, a …

Type: Startup Activities: IT Services Technologies: A.I. A.I. - Machine Learning Semiconductors A.I. - Deep Learning A.I. - Image Processing

3 2 0 33
INOVEOS INOVEOS

[Automatic translation follows] Innovation in electronics and microwaves INOVEOS was created in 2007 in BRIVE-LA-GAILLARDE, an area where telecommunications electronics activities have continued to develop since 1940, around international companies: Philips, Thales, Photonis. .. INOVEOS specializes in the design, manufacturing, sale and distribution of RF and Microwave solutions. Our offer is structured around 3 main sectors of activity: • Engineering and integration, • RF Components and Systems & Microwaves, • Printed circuit prototyping solutions INOVEOS is, in fact, the exclusive distributor in France of the company LPKF, world leader in the field. These 3 activities are aimed at Telecom, Aeronautics, …

Type: Startup Activities: deeptech manufacturing Technologies: Semiconductors

8 4 0 9
3DiS Technologies 3DiS Technologies

3DiS Technologies is a service provider of semiconductor packaging design and assembly using an innovating 3D interconnect technology. This technology enables high performance 3D inductive passive device implementation beside or above IC, as well. Based on a reduced number of technological steps and perfectly adaptable to the constraints of the client's application, the proposed process is ideal for System-in-Package, Wafer-Level-Packaging and above-IC inductive device integration. Our cost effective solution reduces time-to-market and leads to miniaturized and more efficient electronic systems. 3D Electronics Packaging, 3D Interconnects, 3D Inductive Passive Devices, and 3D IPD

Type: Startup Activities: Industry 4.0 Technologies: Semiconductors

0 0 0 3
Analogix Semiconductor Inc. Analogix Semiconductor Inc.

Bring Vision To Life Analogix Semiconductor designs and manufactures semiconductors for the digital multimedia market, from portable devices such as smartphones, notebooks, and VR head mounted displays, to large, high-definition TVs and high-end graphics cards. Analogix is the market leader in providing end-to-end interface connectivity semiconductor solutions for DisplayPort under its SlimPort brand, including high-speed signal conditioners, and an industry leader in mobile display controllers, such as low-power, high-speed timing controller solutions. Display Port, Mobile Display Timing Controllers, SlimPort, Display Converters, IP Cores and ASICs, and Virtual Reality

Type: Startup Activities: deeptech manufacturing Technologies: VR Semiconductors

1 0 0 171
Silicon Integration Initiative, Inc. Silicon Integration Initiative, Inc.

Reducing Cost and Improving Efficiencies through IC Design Flow Integration Si2 is the largest organization of industry-leading semiconductor, systems, EDA and manufacturing companies focused on the development and adoption of standards to improve the way integrated circuits are designed and manufactured, in order to speed time-to market, reduce costs, and meet the challenges of sub-micron design. Now in its 27th year, Si2 is uniquely positioned to enable timely collaboration through dedicated staff and a strong implementation focus driven by its member companies. Si2 represents over 70 companies involved in all parts of the silicon supply chain throughout the world. EDA, …

Type: SMB Activities: manufacturing Technologies: Semiconductors

0 0 0 12
EMCORE Corporation EMCORE Corporation

Leading provider of advanced inertial navigation products serving the Aerospace & Defense markets. EMCORE Corporation is a leading provider of advanced Inertial navigation products that serve the aerospace & defense, commercial and industrial markets. Our best-in-class components and systems support a broad array of inertial navigation applications. We leverage industry-leading Photonic Integrated Chip (PIC), Quartz MEMS, and Lithium Niobate chip-level technology to deliver state-of-the-art component and system-level products across our end-market applications. EMCORE has vertically-integrated manufacturing capability at its facilities in Alhambra, CA, Budd Lake, NJ, Concord, CA, and Tinley Park, IL. Our manufacturing facilities all maintain ISO 9001 quality …

Type: SMB Activities: manufacturing Technologies: Semiconductors

0 0 0 458
Fuji Electric Corp. of America Fuji Electric Corp. of America

A global leader in manufacturing power electronics products since 1923 - Advancing Toward a Century of Innovation. Since 1970, Fuji Electric Corp. of America has been responsible for the sales, marketing and distribution throughout the Americas of products manufactured by the Fuji Electric Group globally. We offer a wide range of products, such as inverters, circuit breakers, and ring compressors. Perfected through extensive research and development, these products are unparalleled in quality and reliability. We are proud to offer these exceptional products, and committed to finding solutions that satisfy every customer's needs. AC Drives, Ring Compressors, Blowers & Pumps, Distribution …

Type: SMB Activities: manufacturing transporttech Technologies: Semiconductors

0 0 0 160
Hemlock Semiconductor Hemlock Semiconductor

Connecting and energizing our world through silicon technology About Hemlock Semiconductor Hemlock Semiconductor Operations (HSC) is a leading provider of hyper-pure polycrystalline silicon (polysilicon) and other silicon-based products used in the manufacture of semiconductor devices, solar cells and modules. At HSC, we’re passionate about silicon-based technology and its unique potential to connect and energize the world we share. HSC’s polysilicon enables customers to produce high-tech electronics and solar energy, and our efficient manufacturing process delivers products with an ultra-low-carbon footprint. HSC began operations in 1961. More information can be found at: hscpoly.com | @hscpoly | LinkedIn | Facebook | YouTube …

Type: SMB Activities: cleantech greentech manufacturing Technologies: Solar Technologies Semiconductors

0 0 0 723
CPC - Colder Products Company CPC - Colder Products Company

We Inspire Confidence at Every Point of Connection CPC is the leading provider of quick disconnect couplings, fittings and connectors for life sciences, specialty industrial and chemical handling markets. Used in a broad range of applications, our innovative coupling and connection technologies allow flexible tubing to be quickly and safely connected and disconnected. CPC makes people’s lives better by developing innovative high quality products that make fluid handling safe and easy. CPC’s products are used across a broad range of medical devices and equipment, including surgical, dialysis, blood pressure monitoring, patient therapy devices, and in vitro diagnostics. A de facto …

Type: SMB Activities: deeptech Technologies: Semiconductors Synthetic Biology

0 0 0 391