Entities
LogoName Σ Employees
BeSpoon BeSpoon

inch-level tracking BeSpoon is a fabless semiconductor company. We cracked the individual positioning problem. Our chips can track items or individuals within a few centimeters... over long ranges. To achieve that, we measure the time of flight of a ultra wide band signal with a precision of 125 picoseconds! This opens fantastic opportunities to monitor assets, enable precise indoor location and ultimately keep track of all our belongings on our phones. Ultra Wide Band, Indoor location, Real-Time Location System, UWB, and Precise Positioning

Type: Startup Activities: deeptech nanotech Technologies: Semiconductors

0 0 0 10
sensichips sensichips

Looking far... sensichips - microsensors for health and safety Humans coordinate multiple senses for optimal recognition, and so should electronics systems. Sensichips brings together a unique combination of expertise in materials science, analytical chemistry and precision analog microelectronics to engage in the development of a next generation microsensors platform. Our miniature sensors will include diverse sensor types, signal conditioning, acquisition electronics and synergistic coordination to improve recognition, in a single microchip. Sensichips' technology achieves superior chemical recognition by combining high sensitivity with high selectivity with the incorporation of new, rugged synthetic receptor materials. The technology will be packaged into elementary …

Type: Startup Activities: deeptech nanotech Technologies: Semiconductors New Materials Sensors

0 0 0 9
Hexastorm Hexastorm

Empowers engineers with laser direct imaging using prisms and open-hardware. Hexastorm develops PCB and 3D printing equipment with a new type of laser scanner, a transparent polygon scanner.

Type: Startup Activities: deeptech nanotech Technologies: 3D Printing

0 0 0 0
LoMaRe Technologies Ltd. LoMaRe Technologies Ltd.

Fabless Semiconductor Company, spin-off from Imperial College London, to commercialise PMRAM - an emerging non-volatile memory technology.

Type: Startup Activities: deeptech nanotech Technologies: Semiconductors

0 0 0 9
RivieraWaves SAS, a Ceva Company RivieraWaves SAS, a Ceva Company

Proven Bluetooth and Wi-Fi IPs and platforms for embedded designs RivieraWaves SAS, a Ceva Company, is a leading licensor of silicon Intellectual Property (IP) cores and platforms in the Bluetooth® and Wi-FiTM areas for integration into ASICs and FPGAs. The RivieraWaves wireless connectivity IPs, made of digital hardware, software and radio frequency building blocks enable Ceva’s customers to develop low power, low cost and innovative solutions that have significant impact on the market. Ceva complements its RivieraWaves IP offering with design services, ranging from IP customization to complete ASIC and application development. Ceva is the ideal partner for companies wishing …

Type: Startup Activities: deeptech nanotech Technologies: IoT Embedded Systems Wireless

0 0 0 22
eVaderis eVaderis

eVaderis is the first semiconductor IP company offering solutions based on new disruptive embedded MRAM. The company’s broad portfolio of IP going from specialized memory, memory compiler, logic library and optimized MCU, helps customers to efficiently solve their design challenges in a wide range of markets: IoT, mobile, wearable, infrastructure. eVaderis headquarter is located in Grenoble area, France. Semiconductor IP, Physical Design, System Design, Embedded NVM, EDA, Software, Memory, Processor, Logic, Low Power, Normally-Off, Instant-On, IoT, Wearable, MCU, and MRAM

Type: Startup Activities: deeptech nanotech Technologies: Semiconductors IoT

0 0 0 0
DR YIELD DR YIELD

Increasing yield for semiconductor manufacturers with our powerful Big Data and AI analytics software. DR YIELD is the innovative leader in yield analytics. Our software, YieldWatchDog, is the smart, flexible and high-performance solution for semiconductor data visualization and automated process control. YieldWatchDog: yield management with data integration, advanced analysis and enhanced visualization of all chip manufacturing and test data. It is capable of recognizing patterns and automatically notifies you if any irregularities occur. Using patented data aggregation technology and advanced algorithms, YieldWatchDog quickly integrates even large amounts of data into one large-scale, highly compressed database. YieldWatchDog’s user-friendly and intuitive interface …

Type: SMB Activities: deeptech manufacturing nanotech Technologies: Semiconductors Data Analytics A.I. - Machine Learning A.I. A.I. - Deep Learning

0 0 0 10
IM Flash IM Flash

IM Flash is Now Micron. IM Flash is a joint venture between Intel and Micron and we are the only company in the world making 3D XPoint, a new transistor-less chip, which is the first of its kind. You can find 3D XPoint in Intel Optane solid state drives (SSD), which are used by data centers and high end computers. Come learn more about IM Flash. There are no limits to the opportunities ahead! We are making the memory that makes the world mobile. IM Flash combines the best from Intel and Micron - Intel’s multi-level cell technology and history …

Type: SMB Activities: IT Services Technologies: Semiconductors

0 0 0 748
CEITEC S.A CEITEC S.A

Iniciativa que garante o domínio tecnológico completo da fabricação de chips no país. CEITEC S.A., established in 2008 is located in Porto Alegre Brazil and is government-funded through the Ministry of Science and Technology. CEITEC S.A. primary goal is to develop the nation’s microelectronics industry. The companies’ charter is to create a solid foundation in semiconductors development, design and sales of products to meet the high demand in Brazil and also in South America. microeletrônica and chips

Type: Public Activities: deeptech nanotech Technologies: Semiconductors

0 0 0 116
ASMC ASMC

Type: SMB Activities: deeptech nanotech

0 0 0 226
Moortec Semiconductor Limited Moortec Semiconductor Limited

Moortec is now part of Synopsys. Please follow us on our new channel. Moortec is now part of Synopsys. Please follow us on our new channel. Analog IP, Mixed Signal, IP Vendor, Temperature Sensors, Embedded Monitoring, PVT Sensors, Process Monitors, Voltage Monitors, In-Chip Monitoring Subsystem, 28nm, FinFET, 16nm, 7nm, Advanced Node, PVT Monitoring, Gate Density, DVFS, AVS, and Timing Closure

Type: SMB Activities: deeptech manufacturing nanotech Technologies: Semiconductors Sensors

0 0 0 2
Test and Verification Solutions Test and Verification Solutions

T&VS helps companies in the embedded computing industry to improve their time to market and product quality. It does this by providing hardware verification and software testing services and by establishing a client offshore capability where appropriate. Our customers include leading organisations such as ARM, Intel, Infineon, XMOS, Icera, Panasonic, Dialog, Mentor Graphics. Utilising T&VS for software testing frees up developers. An independent, specialist approach to testing provides an unbiased view of the quality of the applications delivered. Automation of software testing where appropriate provides productivity and quality benefits, supported by our unique focus on Requirements Sign Off and Requirements …

Type: Startup Activities: deeptech nanotech Technologies: Embedded Systems

0 0 0 98
Socionext Europe Socionext Europe

for better quality of experience Socionext Europe (SNEU) is an integral part of Socionext Inc.'s global structure, headquartered in Frankfurt, Germany, with a key facility in Munich. Central to our operations, the Munich Design and Support Center excels in developing advanced graphics IP, alongside innovative hardware and software solutions tailored for the automotive industry. Our expertise also spans sectors such as networking, data centers, IoT, Radar Sensing, and Industrial Automation. SNEU is committed to delivering comprehensive SoC solutions, catering to the latest market needs from concept through to completion. For more details, and assistance, or to connect with our team, …

Type: Startup Activities: nanotech deeptech manufacturing Technologies: A.I. - Image Processing 5G A.I. Semiconductors Wireless

0 0 0 117
ASCA GmbH & Co. KG ASCA GmbH & Co. KG

ASCA® - die Photovoltaik-Lösung für unbegrenzte Möglichkeiten ASCA GmbH & Co. KG, a German company situated in Kitzingen, is the market leader in the area of organic photovoltaics. ASCA produces bespoke organic solar cells and systems, tailored to customers’ specific requirements. Furthermore, ASCA is active in the area of research and development, in order to continuously provide their customers with creative and innovative solutions. Additionally, ASCA employs an unique manufacturing process, based on a combination of printing, lamination and laser structuring processes. These give a distinct advantage due to their high scalability and, moreover, allow the implementation of custom designs. …

Type: Startup Activities: cleantech deeptech greentech manufacturing nanotech Technologies: IoT Solar Technologies

0 0 0 6
UltraSoC UltraSoC

Intelligent Embedded Analytics for SoCs UltraSoC Technologies has evolved and is now Tessent Silicon Lifecycle Solutions, Siemens EDA and a division of Siemens Digital Industries Software. UltraSoc Its technology delivers monitoring and self-analytics technology for use in the silicon chips (SoCs) that power today's consumer electronic, computing and communications products. The embedded analytics technology helps solve the most pressing problems faced by the high-tech industries today – including cybersecurity, functional safety, and the management of complexity. Its solutions also allow designers to develop SoCs – the driving force behind both performance improvement and cost reduction in the electronics business – …

Type: SMB Activities: IT Services Technologies: Cybersecurity

0 0 0 7
BraneCell BraneCell

Quantum Communications (QSDC), Molecular Tags and Spacetime Probes BraneCell has developed a new qudit. (A qudit is like a qubit with higher-dimensional entanglement; dense coding; more information per photon read). Our quidit is a new type, molecular-based, with analogy to some natural processes. We have shown Hadamard and other transformations emitting entangled photons. We use the new molecular qudits for QSDC (quantum direct communications) and "spacetime probes" (for example quantum radar) and a new type of scanner (not yet unveiled). We have several applied and granted patents on these themes. DOD and DARPA have vetted our work. We apply our …

Type: SMB Activities: deeptech IT Services nanotech Technologies: Semiconductors

0 0 0 4
MaxLinear MaxLinear

Semiconductors, Broadband, Wireless Infrastructure, Connectivity, Industrial Interface, 5G, Wi-Fi 7, Ethernet, IIOT MaxLinear is a fabless semiconductor company that provides systems-on-chip (SoC) solutions used for broadband, mobile and wireline infrastructure, data center, and industrial and multi-market applications. We bring our customers a competitive advantage through engineering excellence, innovation and execution. Due to exceptional levels of integration, performance, and optimized power consumption, our solutions make our customers more competitive by enabling shorter design cycles, significant design flexibility, and low system-level cost.​ MaxLinear’s highly integrated semiconductor devices and platform-level solutions are primarily manufactured using low-cost CMOS process technology. CMOS processes are ideally …

Type: Startup Activities: deeptech Technologies: 5G

0 0 0 1,545
ST-Ericsson ST-Ericsson

ST-Ericsson is an industry leader in design, development and creation of cutting edge mobile platforms and semiconductors across the broad spectrum of wireless technologies. Established in 2009, ST-Ericsson is a 50/50 joint venture uniting the wireless semiconductor division of STMicroelectronics (ST-NXP Wireless) and the mobile platform division of Ericsson (Ericsson Mobile Platforms). Today, the company is a key supplier to industry leaders, including mobile operators and device manufacturers, and we are actively engaged with seven of the top nine OEM manufacturers by revenue. Leading innovation in wireless, wireless semiconductors, and mobile platforms

Type: Large company

0 0 0 867
Bob Giddy Bob Giddy

A supplier of low power, high performance processor technology, services and soltions. ClearSpeed enables customers to transform their applications by lowering energy requirement and enabling software defined products. ClearSpeed Technology Ltd is a semiconductor company, formed in 2002 to develop enhanced SIMD processors for use in High-performance computing and Embedded systems. Based in Bristol, UK, the company has been selling its processors since 2005. Its current 192 core CSX700 processor was released in 2008. Silicon SIMD Processor Engineering Design

Type: SMB Activities: deeptech nanotech Technologies: Embedded Systems Semiconductors

0 0 0 9
Probion Analysis Probion Analysis

Your material analysis expert Probion Analysis is an independent company established in 1998 and located near Paris (France). We offer a material analysis service for semiconducting, metallic and insulating materials, providing support for industry and research. We have extensive experience in analysis of semiconducting and insulating materials with a special expertise in semiconductors: Silicon, III-V (including III-N) and II-VI. We can also analyse the insulating or metallic layers which are routinely associated to semiconductor devices and more generally, we will consider any type of request concerning analysis of solid samples (metallic alloys, glasses, coatings and thin films ...). With a …

Type: SMB Activities: deeptech Technologies: Semiconductors

0 0 0 7
ADICSYS ADICSYS

Build your own FPGA ! For 10 years ADICSYS has been providing top tier SOCs with push-button-generated, fully synthesizable yet silicon-proven standard cell based and customizable soft embedded FPGA cores. Thanks to our innovative architecture that addresses all FPGA core design challenges, our logic density is best in class even without using custom design methods. There is no learning curve for our customers regardless of the chosen foundry and node. The time to tape-out can be as short as a week, which makes ADICSYS the best value in the field of industrial embeddable FPGA cores. ADICSYS stands at the crossroad …

Type: Startup Activities: deeptech manufacturing

0 0 0 3
CALY Technologies CALY Technologies

Specialty Silicon Carbide (SiC) Devices for Advanced Protection and Power Conversion applications We at CALY Technologies are devoted to the development and commercialization of innovative specialty wide bandgap (WBG) semiconductor devices, targeting highly demanding Advanced Protection and Power applications including: • Lightning, Transient and Short-circuit Protection • Smart Grid • Advanced and High-efficiency Power Conversion • Motor Driving Served markets comprise Aerospace, Transportation, Power Grid, Industrial and Residential. CALY Technologies offers off-the-shelf products, custom design, as well as characterization and qualification services. Innovative Wide Bandgap (WBG) devices for High Voltage and High Power applications, Protection Devices and Applications, and Silicon …

Type: Startup Activities: deeptech nanotech Technologies: Semiconductors Sensors

0 0 0 6
S'TILE S'TILE

A new concept of Solar Modules [ENG&FR] S'Tile is developpinga new solutions of solar modules for Building integration, Roofs and facades. Manufacturing use specific solar cells and interconnections without any busbar or ribbons visible. www.silicontile.fr cellules solaires photovoltaïques, microélectronique, and énergies renouvelables

Type: Startup Activities: cleantech greentech Industry 4.0 manufacturing Technologies: Decarbonization Solar Technologies

0 0 0 19
ATMI ATMI

ATMI is a global leader in the development of process solutions for the semiconductor and life science industries. Whether it’s a green chemistry used in flash memory manufacturing, game-changing equipment for the safe delivery of toxic ion implant gases, or a revolutionary mixing system for the manufacturing of pharmaceutical compounds, ATMI is leading the way. We combine broad expertise in science and engineering with the latest tools and technologies enabling rapid development and scale-up of new solutions to meet the needs of today’s high-technology marketplace. ATMI promotes a culture that engages employees and fosters growth and development, while offering compensation …

Type: SMB Activities: biotech deeptech Technologies: Semiconductors

0 0 0 59
Adapteva Adapteva

Adapteva has developed the world’s most energy efficient and scalable multicore processor chip, designed for parallel computing and is the producer of the Parallella board, an open source, credit card sized computer for parallel programming. Adapteva’s groundbreaking Epiphany multicore architecture represents a new class of massively parallel computer architectures that is the future of computing and will disrupt a wide range of end markets from compact low power devices to next generation supercomputers. To enable parallel programming in heterogeneous environments, Adapteva is adopting an open source approach making the architecture, interface and programming information available to all. Adapteva is the …

Type: Startup Activities: IT Services manufacturing Technologies: SaaS

0 0 0 N/A
SemiElectronics SemiElectronics

SemiElectronics is an online resource used by modern electrical engineers to say on the leading-edge of semiconductor and electronics technology. The electronics industry is ever-evolving: features enhance, new technology comes to market, reference designs are created, and it's daunting for even the most ambitious engineer to keep up. Built and maintained by applications engineers with connections to many of the major manufacturers, distributors, and insiders in the industry, SemiElectronics cuts the clutter of the Internet by providing relevant information, carefully categorized by product, application, and manufacturer. This relevant information is delivered non-intrusively to a thriving electrical engineering community connected through …

Type: Startup Activities: IT Services manufacturing Technologies: Semiconductors

0 0 0 3
ROHM Co., Ltd. ROHM Co., Ltd.

Electronics for the Future Founded in 1958, ROHM provides LSI and discrete semiconductors characterized by outstanding quality and reliability for a broad range of markets, including automotive, industrial and consumer market via its global development and sales network. In the power & analog field, ROHM proposes the suitable solution for each application with power devices such as SiC, driver ICs to maximize their performance, and peripheral components such as transistors, diodes and resistors. Further information on ROHM can be found at www.rohm.com Semiconductors

Type: SMB Activities: manufacturing Technologies: Semiconductors

0 0 0 1,094
Wispry Wispry

Tunable RF-MEMS Solutions #WeAreWiSpry WiSpry innovates in RF-MEMS to create tunable and switchable RF solutions. WiSpry is one of the pioneers in the RF-MEMS industry, and have accumulated extensive expertise and know-how, centered in Irvine, CA. RF-MEMS solutions bring performance advantages to the RF Front-End (RFFE), enabling enhanced communication. Founded in 2002 by early MEMS innovators, WiSpry today is an advanced fabless semiconductor company, with specialist skillsets in mixed signal, CMOS, MEMS, fabrication, and packaging. WiSpry was acquired by AAC Technologies in 2015, and as a wholly owned subsidiary, WiSpry continues to innovate and solve some of the toughest industry …

Type: SMB Activities: IT Services Technologies: Semiconductors

0 0 0 15
AL AIN CLUB AL AIN CLUB

AL AIN CLUB is a semiconductors company based out of Abu Dhabi, United Arab Emirates.

Type: SMB Activities: entertainment

0 0 0 15
FLEEP Technologies FLEEP Technologies

We develop printed and flexible integrated circuits and systems. Thin. Flexible. Recyclable. ~ Printed Electronics ~ FLEEP is the sustainable electronics solution for flexible, transparent and recyclable electronics. We are a spin-off project of the Italian Institute of Technology developing flexible integrated circuits and systems. We employ only carbon-based materials making our electronics devices recyclable, mechanically flexible and ultra-thin. We apply our technology to the biomedical devices and packaging industries. Visit our website and contact us to know more. Printed Electronics, Flexible Electronics, Plastic Electronics, Flexible Integrated Circuits, Electronics, Prototyping, and Smart Labels

Type: Startup Activities: deeptech Technologies: New Materials

0 0 0 9
M+W Group M+W Group

M+W Group with its origin in Germany and a history of more than 100 years has reorganised its activities in 2018, thereby creating two, distinct companies ‘Exyte’ and ‘M+W’: Exyte comprises all core business activities, which include Advanced Technology Facilities (ATF), Biopharma & Life Sciences, Data Centers (DTC) and Regional Specific Business (RSB) in defined target countries. M+W comprises all other business activities, which are mainly related to energy projects. The re-grouping does not have any influence on the execution of ongoing projects or on customer engagements, respectively. M+W Group, which holds 100% of Exyte and 100% of M+W, is …

Type: Large company

0 0 0 2,227
3DiS Technologies 3DiS Technologies

3DiS Technologies is a service provider of semiconductor packaging design and assembly using an innovating 3D interconnect technology. This technology enables high performance 3D inductive passive device implementation beside or above IC, as well. Based on a reduced number of technological steps and perfectly adaptable to the constraints of the client's application, the proposed process is ideal for System-in-Package, Wafer-Level-Packaging and above-IC inductive device integration. Our cost effective solution reduces time-to-market and leads to miniaturized and more efficient electronic systems. 3D Electronics Packaging, 3D Interconnects, 3D Inductive Passive Devices, and 3D IPD

Type: Startup Activities: Industry 4.0 Technologies: Semiconductors

0 0 0 3
UNISERS UNISERS

Universal Contamination Analyzer UNISERS builds and sells machines applying a novel technology to find and identify critical contaminations all along the semiconductor manufacturing chain. We help to reduce the enormous amount of contaminated and hence actually unusable and wasted newly produced microchips. wafer inspection, optics, nanotechnology, nanoparticles, semiconductor, surface analysis, and liquid analysis

Type: Startup Activities: deeptech

0 0 0 22
Converge Converge

Converge connects customers with supply chain solutions for today and tomorrow. Converge tackles your supply chain challenges for today with solutions for the future. As the only public open market distributor, we possess a unique combination of global access, manufacturing industry expertise, and proven quality. With this, we can create customized, end-to-end supply chain solutions for electronics components sourcing and inventory management. We have the relationships, market intelligence, and product expertise to respond to urgent needs and dynamic market conditions, and the financial backing to provide terms that empower long-term growth. Connected distribution Converge, an Arrow company, is your full-service …

Type: SMB Activities: transporttech Technologies: IoT

0 0 0 864
SilTerra Malaysia Sdn. Bhd. SilTerra Malaysia Sdn. Bhd.

Enabling Tomorrow with Technologies Today! Silterra is a project of strategic national interest to promote front-end semiconductor manufacturing and a catalyst for high technology investments in Malaysia. It was founded in November 1995 as Wafer Technology Malaysia Sdn Bhd and was renamed as Silterra Malaysia Sdn Bhd in December 1999. Silterra broke ground on first manufacturing facility in Kulim, Malaysia in June 1999 and produced working silicon in November 2000. Since its inception, Silterra has served many top-tier global fabless design and product companies covering the consumer electronics, communications & computing, and mobile device market segments. Silterra offers CMOS design …

Type: SMB Activities: manufacturing Technologies: Wireless Semiconductors

0 0 0 822
USound GmbH USound GmbH

MEMS speakers for a superior audio experience. USound is a fast-growing company that enables customers to bring new revolutionary audio products to the market. We provide innovative audio system solutions based on highly scalable MEMS technology. Our revolutionary products include advanced MEMS speakers, audio modules and amplifiers. Piezo-electric MEMS Loudspeakers, Loudspeakers for mobile phones, Loudspeakers for hearables, Loudspeakers for in-ear headphones, Loudspeakers for over-the-ear headphones, and Loudspeakers for wearables

Type: Startup Activities: entertainment

0 0 0 52
StratEdge Corporation StratEdge Corporation

High-Frequency, High-Power, & High-Reliability Semiconductor Packaging StratEdge is a privately held company with worldwide headquarters in Santee, California, USA. Design, manufacturing, test, and assembly are located in the Santee Division's ISO 9001:2015 facility. StratEdge high performance semiconductor packages operate from DC to 63+ GHz for the high speed digital (OC-48, OC-192, OC-768), mixed signal, broadband wireless, satellite, point-to-point and point-to-multipoint, VSAT, and test and measurement industries. High Frequency Semiconductor Packaging, High Power Semiconductor Packaging, High Reliability Semiconductor Packaging, Electronic Assembly & Test Services, and Package Design & Material Selection

Type: SMB Activities: manufacturing Technologies: New Materials

0 0 0 15
Xenics Xenics

Supplier of leading-edge SWIR, and LWIR camera systems and solutions. Xenics, part of Exosens group, is a pioneer of infrared technology with a proven track record of more than twenty years. Xenics designs and markets infrared imagers, cores and cameras of best-in-class image quality to support machine vision, scientific & advanced research, transportation, process monitoring, safety & security and medical applications. Xenics offers a complete portfolio of products for the vSWIR, SWIR, and LWIR ranges. Mastering all critical steps of the manufacturing process with advanced production facilities and in-house know-how on detectors, systems and software development Xenics delivers state-of-the-art solutions …

Type: SMB Activities: deeptech manufacturing Technologies: Cybersecurity

0 0 0 64
SUSS MicroTec SUSS MicroTec

SUSS MicroTec is a leading supplier of process equipment for microstructuring in the semiconductor industry. SUSS MicroTec is a leading supplier of equipment and process solutions for microstructuring in the semiconductor industry and related markets. In close cooperation with research institutes and industry partners, SUSS MicroTec contributes to the advancement of next-generation technologies such as 3D Integration and Nano Imprint Lithography as well as key processes for MEMS and LED manufacturing. With a global infrastructure for applications and service SUSS MicroTec supports more than 8,000 installed systems worldwide. SUSS MicroTec is headquartered in Garching near Munich, Germany Imprint: https://www.suss.com/en/imprint Lithography …

Type: SMB Activities: Industry 4.0 Technologies: Semiconductors

0 0 0 795
鈺立微電子股份有限公司   eYs3D Microelectronics, Co. 鈺立微電子股份有限公司 eYs3D Microelectronics, Co.

A Company To Better Human's Life with Silicon Centric Computer Vision Products & Solutions. eYs3D Microelectronics is a fab-less semiconductor design-house designs processor and 3D Sensory Systems for vision AI at the edge. With operations in Taiwan, United States and Sales Office in Shenzhen, China the company has had a very successful history of providing ICs and Silicon Centric Stereo Vision 3D Sensing as well as ISP products to the market. After closing the series-A strategical investment from ARM IoT Fund, WI Harper, Marubun Corporation and Sunplus Technology, the company will have a broader focus in its design approach and …

Type: Startup Activities: IT Services Technologies: IoT A.I. Sensors

0 0 0 26
FTDI Chip FTDI Chip

We develop innovative silicon solutions that enhance interaction with the latest in global technology. FTDI Chip specialise in the design and supply of silicon and software solutions for the Universal Serial Bus (USB). FTDI Chip offer a simple route to USB migration by combining easy to implement IC devices with proven, ready to use, royalty-free USB firmware and driver software.

Type: Startup Activities: IT Services Technologies: SaaS

0 0 0 58
Power Integrations Power Integrations

Innovation in Power Conversion Power Integrations, Inc., is a Silicon Valley-based supplier of high-performance electronic components used in high-voltage power-conversion systems. Our integrated circuits and diodes enable compact, energy-efficient AC-DC power supplies for a vast range of electronic products including mobile devices, TVs, PCs, appliances, smart utility meters and LED lights. Our SCALETM IGBT drivers enhance the efficiency, reliability and cost of high-power applications such as industrial motor drives, solar and wind energy systems, electric vehicles and high-voltage DC transmission. Since its introduction in 1998, Power Integrations'​ EcoSmart® energy-efficiency technology has prevented billions of dollars'​ worth of energy waste and …

Type: Large company

0 0 0 1,067
ACEINNA ACEINNA

The leader in MEMS Technology for Autonomous Vehicle Navigation/Positioning and Current Sensing ACEINNA’s team (originally spun out of MEMSIC) has pioneered unique and disruptive sensing technologies that create value for applications ranging from automobiles to aircraft, from rugged industrial equipment to consumer products. Across all the industries we serve, ACEINNA is committed to helping our customers improve the intelligence, capability, and value of their end products. We do this by leveraging our deep expertise in MEMS sensors, together with sophisticated calibration and packaging techniques, to deliver sensing solutions offering dramatic advancements in performance, size and cost. The same expertise that …

Type: Startup Activities: manufacturing Technologies: Sensors

0 0 0 25
Central Semiconductor Central Semiconductor

Manufacturer of innovative discrete semiconductor solutions since 1974. Manufacturer of Discrete Semiconductor Solutions. Since 1974, Central Semiconductor has manufactured innovative discrete semiconductors to meet designers'​ ever-changing requirements. Our business is the pursuit of perfection in the devices we manufacture and the services we provide, all the time, every time. Central's average lead time is consistently lower than industry standards. As an ISO9001:2015 certified manufacturer, Central constantly monitors its manufacturing processes and business practices to achieve perfect quality products and outstanding service; devices are RoHS2 and REACH compliant with quality data readily available from our website. Central Semiconductor aspires to be …

Type: SMB Activities: manufacturing Technologies: Semiconductors

0 0 0 48
Diodes Incorporated Diodes Incorporated

A leading global manufacturer and supplier of high-quality Analog, Discrete, Logic & Mixed Signal Semiconductors. For information about career opportunities please visit www.diodes.com/careers Diodes Incorporated is a leading global manufacturer and supplier of high-quality semiconductor products to the world’s leading companies in the automotive, industrial, computing, consumer electronics, and communications markets. We leverage our expanded product portfolio of discrete, analog, and mixed-signal products and leading-edge packaging technology to meet customers’ needs. Our broad range of application-specific solutions and solutions-focused sales, coupled with worldwide operations of 32 sites, including engineering, testing, manufacturing, and customer service, enables us to be a premier …

Type: Large company

0 0 0 1,109
GeneSiC Semiconductor GeneSiC Semiconductor

Energy Efficiency Through Innovation GeneSiC is the provider of choice for Silicon Carbide and Silicon based high power semiconductor products. Our mission is to deliver the best customer driven designs possible, with leading performance and quality while maintaining a highly competitive price. Our targeted markets include: Aerospace, Alternative Energy, Commercial, Industrial and Military. Through our customer driven approach and technical leadership, you can be sure that GeneSiC will meet and exceed your power semiconductor needs. GeneSiC capitalizes on its expertise in device design, fabrication and testing to develop the best possible SiC devices for its customers. GeneSiC's highly innovative and …

Type: SMB Activities: manufacturing deeptech Technologies: Semiconductors

0 0 0 7
Xpeedic Xpeedic

Accelerate your IC design with advanced EDA/IP Solutions. Xpeedic is a leading provider of simulation-centric EDA solution. Its differentiating chip-package-system solution enables customers to shorten their design cycle and thus improve time to market. Xpeedic customers include the world’s top companies in mobile, IoT, HPC, datacenter, and automotive. Simulation EDA, EM Simulation, Multiphysics Simulation, IC-PKG-SYS Simulation, Advanced Packaging, 2.5D/3D IC, Power Integrity, and Signal Integrity

Type: Startup Activities: IT Services Technologies: SaaS

0 0 0 25
VyperCore VyperCore

Next generation computation The Future of Compute is Performant and it is Secure Accelerated and Secure Workload Computation VyperCore processor technology changes the performance and security paradigms for *existing* general-purpose compute-intense workloads. Memory security vulnerabilities are ruled out at gate-level in the silicon. Application throughput is increased by an order of magnitude. All of this, without changing a line of source code. Follow us and learn more as we come out of stealth mode.

Type: Startup Activities: IT Services Technologies: Cybersecurity

0 0 0 14
Kandou Kandou

Unlocking the power of your technology Founded in 2011, Kandou is the innovative leader in high-speed, energy efficient, chip-to-chip link solutions critical to the evolution of the electronics industry. Kandou enables a better-connected world by offering disruptive technology through licensing and standard products that empower the devices we use every day to become smaller, more energy efficient and more cost effective. Kandou has a strong IP portfolio that includes ChordTM signaling, which has been adopted into industry specifications by JEDEC and the OIF. These innovations and implementations deliver a fundamental advance in interconnect technology that lowers the power consumed and …

Type: Startup Activities: greentech Technologies: Semiconductors

0 0 0 219
Ip-Maker Ip-Maker

Start-up specialized in digital IPs : -> NVM Express IP Core to dramatically increase the throughput of the PCIe SSD design (IP-Maker is a contributor to the NVMe working group). -> NandFlash controller to address all flash suppliers. -> BCH Encoder/Decoder IP Core and board prototyping.

Type: Startup Activities: IT Services

0 0 0 2
Thalia Thalia

Accelerating analog IP reuse Thalia is a venture funded technology business with facilities in Cwmbran, United Kingdom, Hyderabad, India and Cologne, Germany. The company provides analog / mixed signal design solutions for integrated circuit (IC) manufacturers and IP companies, via unique design automation technology and strong value-added services capabilities. The company’s investors include Mercia Fund Management and Finance Wales: it has also received grant funding from Innovate UK and The Welsh Government. THALIA's solutions and services enable customers to; 1. Address design migration – migration of design from one technology to another 2. Variants or portfolio generation – create a …

Type: Startup Activities: IT Services manufacturing

0 0 0 39
Crocus Technology Crocus Technology

Advancing Intelligence in Sensing Crocus Technology develops and supplies magnetic sensors based on its revolutionary and patented XtremeSense® TMR technology. Crocus’ magnetic sensors bring significant advantages to Industrial and Consumer Electronic applications requiring extremely high sensitivity, industry leading consistency of sensitivity over wide temperature range, low power and very low noise. The key product lines are Position Sensors (Switch, Linear and 2D positions), Current Sensors and customized modules. We are headquartered in Santa Clara, California, with a strong engineering site in Grenoble, France and sales and support offices worldwide. Visit our website for more details. Semiconductor, Sensors, Sensing, TMR, Hall …

Type: SMB Activities: deeptech manufacturing nanotech Technologies: Semiconductors Sensors Wireless

1 1 0 76
XtremLogic XtremLogic

XtremLogic delivers next generation green high-performance computing on FPGAs with up to 100 times better performance/cost ratio than existing solutions. Our markets are: financial (risk analysis, data processing, HFT), military (radar, drones), security (camera image analysis: face recognition, data correlation), numerical simulations (weather, aerodynamics, meteorological etc.), automotive (driver assistance), industrial (image analysis of the products on the production line) and many others. Our technology bring unique value proposition to these markets. XtremLogic products are based on more than 2 decades of research at LIP Laboratory at ENS Lyon and Inria. Our offer is composed of a library of IPs accelerating …

Type: Startup Activities: deeptech nanotech Technologies: A.I. - Machine Learning A.I. A.I. - Image Processing Drones

1 1 0 3
VSORA VSORA

Making The Impossible Possible! VSORA is an IP provider for chipmakers designing the latest generations of artificial intelligence, general high end signal processing used, for example, in ADAS and digital communications systems, like 5G. Our powerful multi-core DSP architecture eliminates the need for DSP co-processors and hardware accelerators to provide a level of flexibility achievable only with software programming. The powerful system solution allows for designing using a high-level language, without ever writing RTL. Our state-of-the-art DSP design process and architectures boost the computing power while simultaneously optimizing power consumption, silicon area and system performance. Based in France, the company …

Type: Startup Activities: Smart City deeptech nanotech Technologies: 5G A.I. A.I. - Deep Learning Sensors Wireless

1 1 0 15
TeraView TeraView

TeraView is the world’s first and leading company solely focused upon the application of terahertz light. TeraView Ltd. is at the forefront of global developments in terahertz light, creating terahertz instruments which can generate, detect and manipulate radiation to characterize a wide range of materials. The terahertz spectrum is between light and radio waves and offers the advantage of being non-invasive and non-destructive. Using our terahertz equipment, THz imaging is capable of being applied to a number of 3D terahertz imaging and spectroscopy uses. TeraView's proprietary TPITM is utilized in a range of industries, with the potential to save lives, …

Type: SMB Activities: deeptech healthtech nanotech Technologies: Solar Technologies

1 0 1 36
TOPTICA EAGLEYARD TOPTICA EAGLEYARD

THINKING BEYOND! Unique laser diodes to empower your vision. TOTOPTICA EAGLEYARD is a global leading provider of high power laser diodes with wavelengths from 630 nm – 1120 nm based on GaAs (Gallium Arsenide). Our products combine maximum power, highest durability and excellent beam quality – a perfect match for high-end applications. Single Mode Laser Diodes, Single Frequency Laser Diodes, Tapered Amplifier, Multimode Laser Diodes, and Gain Chips

Type: SMB Activities: deeptech nanotech Technologies: Semiconductors

1 0 1 36
Texplained Texplained

Secure Integrated Circuit Reverse Engineering For Embedded Data Extraction, Exploration & Analysis Texplained specializes in Secure Integrated Circuits (ICs) Reverse Engineering and data extraction. Thanks to its custom automated reverse engineering tool -ChipJuice- Texplained performs accurate hardware in-depth analyses, helping its customers gather extensive knowledge on ICs and fight against crime and piracy thanks to its capacities in data extraction from encrypted devices. Thereby, based on its expertise and technology, Texplained offers several services & Solutions such as: - IC Reverse Engineering tools - Hardware studies: * Hw & Fw Extraction (Forensics, Security assessment, etc.) * Security Evaluation * Techonological …

Type: Startup Activities: deeptech nanotech Technologies: Semiconductors

1 0 0 5
Quadric Quadric

One Architecture. Every Algorithm. Quadric has built a unified hardware/software architecture optimized for on-device machine learning inference. Only the Quadric GPNPU (general purpose neural processing unit) delivers high ML inference performance while also running C++ code without forcing the developer to artificially partition application code between two or three different kinds of processors. Quadric's GPNPU is a licensable processor IP core that scales from 1 to 64 TOPs and seamlessly intermixes scalar, vector and matrix code.

Type: Startup Activities: transporttech Technologies: A.I. A.I. - Machine Learning

1 0 1 51
CORIAL by Plasma-Therm CORIAL by Plasma-Therm

A Plasma-Therm Company Plasma-Therm, the manufacturer of leading plasma etch, deposition, and advanced packaging equipment for the production of specialty semiconductor devices, has successfully acquired CORIAL, a France-based plasma processing equipment supplier to become Plasma-Therm. This acquisition enforces Plasma-Therm’s commitment to the European market by further enhancing our support infrastructure for the European customer base. Built on more than 30 years of combined experience and expertise, #CORIAL is the technology leader driving innovation in plasma etch and deposition equipment for specialty semiconductor markets. Ever since CORIAL was established, we have developed our activity on 4 pillars: to offer intuitive equipment, …

Type: SMB Activities: nanotech deeptech manufacturing Technologies: Semiconductors Wireless

1 0 1 17
Ampleon Ampleon

The Leading Global Partner in RF Power Created in 2015, Ampleon is shaped by more than 50 years of RF Power leadership. The company envisions to make the world a better place through innovation in high frequency applications based on the advanced GaN and LDMOS technologies. Ampleon has more than 1,600 employees worldwide, dedicated to enable their customers to be successful with RF Power products through close cooperation and partnership, innovation, and excellent execution. Its innovative, yet consistent portfolio offers products and solutions for 4G LTE and 5G NR infrastructure, industrial, scientific, medical, broadcast, navigation and safety radio applications. For …

Type: Startup Activities: deeptech nanotech Technologies: 5G Wireless

1 0 0 815
SanDisk® SanDisk®

At SanDisk, we focus on helping you #ShareYourWorld. We offer solutions for capturing, editing, storing and sharing. SanDisk® has been expanding the possibilities of data storage for more than 25 years—giving businesses and consumers the peace of mind that comes from knowing their data is readily available and reliable, even in the most challenging environments. Our products are used in the world's leading-edge data centers, embedded in game-changing smartphones, tablets, and laptops, and entrusted by consumers around the world. As a vertically-integrated storage solution company, we are able to quickly deliver innovative, high-quality solutions with less time from research to …

Type: Large company

1 0 0 3,376
XYALIS XYALIS

Time to rethink everything ! XYALIS, an Electronic Design Automation (EDA) company, offers specialized tools in the area of Design for Manufacturing. XYALIS main tools includes CMP metal fill and MPW or shuttle layout optimization. These tools brings advanced solution to the most important DFM issues found during the design and the mask preparation. XYALIS tools also increase engineering productivity during tape-out and help reduce time to manufacturing. Mask data preparation, Dummy/Metal filling, and DFM

Type: SMB Activities: Industry 4.0 Technologies: Data Analytics

1 1 0 12
EV Group EV Group

EV Group (EVG) is a leading supplier of equipment and process solutions for the manufacture of semiconductors and more. EV Group (EVG) is a leading supplier of equipment and process solutions for the manufacture of semiconductors, microelectromechanical systems (MEMS), compound semiconductors, power devices and nanotechnology devices. Key products include wafer bonding, thin-wafer processing, lithography/nanoimprint lithography (NIL) and metrology equipment, as well as photoresist coaters, cleaners and inspection systems. Founded in 1980, EV Group services and supports an elaborate network of global customers and partners all over the world. More information about EVG is available at www.EVGroup.com. Lithography, Bonding, Process Technology, …

Type: SMB Activities: Industry 4.0 IT Services nanotech Technologies: Semiconductors

1 1 1 677
Aspinity, Inc. Aspinity, Inc.

Power-Intelligent Always-on Sensing Aspinity is revolutionizing the design of battery-operated, always-on sensing devices. The company's unique analog machine learning processor introduces a completely new, bio-inspired approach to always-on sensing that improves battery life by 10x by eliminating the power-wasting processing of irrelevant data. Headquartered in Pittsburgh, Aspinity delivers the power- and data-efficient solution required for the next generation of portable always-on devices for IoT, smart home, consumer, industrial, and medical applications such as voice/sound wake-up, industrial machine health monitoring, and wearable health monitoring. tinyML, analog machine learning, low power voice-first, always-on sensing, always-on listening, and neuromorphic processing

Type: SMB Activities: deeptech healthtech Technologies: A.I. A.I. - Machine Learning Batteries Embedded Systems IoT

1 0 1 24
FormFactor Inc. FormFactor Inc.

Semiconductor test and measurement – from lab to fab. FormFactor is a leading provider of essential test and measurement technologies along the full IC life cycle – from characterization, modeling, reliability, and design debug, to qualification and production test. Semiconductor companies rely upon FormFactor’s products and services to accelerate profitability by optimizing device performance and advancing yield knowledge. FormFactor’s leading-edge probe stations, probes, probe cards, advanced thermal subsystems, cryogenic systems for quantum development and integrated systems deliver precision accuracy and superior performance both in the lab and during production manufacturing of high-speed and high-density semiconductor chips. For more information, visit …

Type: Large company

1 0 0 1,584
Sony Depthsensing Solutions Sony Depthsensing Solutions

Technology that sees and understands you Sony Depthsensing Solutions is a leader in 3D vision and gesture recognition technologies. The company’s DepthSenseTM 3D CMOS Time-of-Flight sensors and cameras, together with advanced middleware, provide cutting-edge 3D vision capabilities for a wide variety of industries including gaming, AR/VR, PC, mobile and automotive. gesture recognition, time-of-flight, human tracking, semiconductors, 3D vision, augmented reality, virtual reality, mobile , and automotive

Type: Startup Activities: IT Services Technologies: Semiconductors Sensors VR

1 0 1 158
Rogue Valley Microdevices, Inc. Rogue Valley Microdevices, Inc.

Rogue Valley Microdevices is a full-service MEMS foundry. Specializing in MEMS and biomedical device fabrication, Rogue Valley Microdevices is a full service MEMS foundry that combines state of the art process modules with the engineering experience and expertise to seamlessly go from custom design to device manufacturing. With an extensive list of process capabilities our MEMS foundry eliminates the need for customers to use multiple suppliers. All front end processing is performed in house and under the supervision of our experienced process engineering team. Maintaining all MEMS process capabilities in house enables us to decrease manufacturing lead-times while improving device …

Type: SMB Activities: Industry 4.0 Technologies: Semiconductors

1 0 1 17
indie Semiconductor indie Semiconductor

indie is empowering the Autotech revolution with next-generation automotive semiconductors and software platforms. We focus on edge sensors spanning multiple modalities, including LiDAR, radar, ultrasound and computer vision for Advanced Driver Assistance Systems (ADAS), user experience and electrification applications. These technologies represent the core underpinnings of both electric and autonomous vehicles while our advanced user interfaces enabled by our mixed-signal SoCs transform the in-cabin experience to mirror and seamlessly connect to the mobile platforms we rely on every day. We are an approved vendor to Tier 1 partners and our solutions can be found in marquee automotive OEMs around the …

Type: Startup Activities: manufacturing transporttech Technologies: Semiconductors Sensors

1 0 1 414
Cortus SAS Cortus SAS

Cortus designs IC devices from simple MCUs to high-end SoCs drawing on its broad IP portfolio which includes processors. Cortus is a fabless semiconductor manufacturer group headquartered in Mauguio (near Montpellier, France) with subsidiaries in Italy, Greece and Spain. Cortus designs IC devices from simple MCUs to high-end SoCs drawing on its broad IP portfolio which includes processors 32/64-bit (Cortus ISA and RISC-V ISA), digital, analog, mixed-signal, RF and security IPs. Cortus own IP portfolio addresses applications such as Automotive, Consumer, IoT/NB-IoT, Edge Computing and Industrial control. Over 13 billion devices have been manufactured using Cortus know-how. Cortus is a …

Type: SMB Activities: deeptech manufacturing Technologies: IoT Wireless Semiconductors

1 1 0 44
Analogix Semiconductor Inc. Analogix Semiconductor Inc.

Bring Vision To Life Analogix Semiconductor designs and manufactures semiconductors for the digital multimedia market, from portable devices such as smartphones, notebooks, and VR head mounted displays, to large, high-definition TVs and high-end graphics cards. Analogix is the market leader in providing end-to-end interface connectivity semiconductor solutions for DisplayPort under its SlimPort brand, including high-speed signal conditioners, and an industry leader in mobile display controllers, such as low-power, high-speed timing controller solutions. Display Port, Mobile Display Timing Controllers, SlimPort, Display Converters, IP Cores and ASICs, and Virtual Reality

Type: Startup Activities: deeptech manufacturing Technologies: VR Semiconductors

1 0 0 171
Semilab Zrt Semilab Zrt

For All Your Metrology Needs In Semiconductor, PV, Display Industry and for R&D SEMILAB provides state-of-the-art metrology solutions for semiconductor device manufacturers, both in-line and R&D segments, and is a strategic metrology supplier of leading wafer manufacturers, IC device makers in the More-than-Moore market segment, solar and display industries worldwide. We cover the entire R&D lifecycle of product innovation, ranging from innovative research, measurement development, product design and manufacturing to implementation, integration, and maintenance. With our 47 product lines and our 214 unique products, our growing portfolio offers a variety of metrology solutions based on optical and electrical measurement technologies, …

Type: SMB Activities: Industry 4.0 manufacturing Technologies: Solar Technologies Semiconductors

1 0 1 667
Intrinsic Intrinsic

Intrinsic is a UCL spinout company, established to commercialise the novel memristive RRAM devices developed by Prof Tony Kenyon and Dr. Adnan Mehonic in UCL Electronic and Electrical Engineering. The research that led to the demonstration of the RRAM devices was supported by EPSRC, UCL Business Proof of Concept funding. The team are also supported by UCL Technology Fund as recipients of funding through their Proof of Concept early stage investment.

Type: Startup Activities: IT Services Technologies: Semiconductors

1 0 1 10
OMNIVISION OMNIVISION

Infinite Ingenuity Founded in 1995, OMNIVISION has been at the leading-edge of technology, developing and delivering advanced digital imaging, analog, and touch & display solutions for multiple applications across several industries. With more than 3,000 employees worldwide and over 13 billion products shipped, OMNIVISION is a well-known global fabless semiconductor company. Our products can be found everywhere in people’s lives including mobile phones, security & surveillance, automotive, computing, medical, and emerging applications. Across these segments, OMNIVISION’S award-winning technology enables a smoother human/machine interface in many of today’s commercial devices. In this way, OMNIVISION delivers solutions that put its customers at …

Type: Large company

1 0 1 1,245
Brooks Automation Brooks Automation

At Brooks, new ideas, new technologies and new ways of thinking are driving our future. Brooks is a leading provider of automation solutions with over 40 years of experience in the semiconductor industry. We specialize in offering precision robotics, integrated automation systems, and contamination control solutions that empower chip manufacturers worldwide. Customer success is our foremost priority at Brooks Automation. Our clients choose us because we consistently provide best-in-class reliability and cost of ownership. Our solutions are tailored to maximize value within their specific applications. By understanding the challenges faced by our customers, we deliver scalable solutions that provide an …

Type: Large company

1 0 0 1,741
pSemi, A Murata Company pSemi, A Murata Company

Intelligent Electronics for the Connected World pSemi Corporation is a Murata company driving semiconductor integration. pSemi builds on Peregrine Semiconductor’s 30-year legacy of technology advancements and strong IP portfolio but with a new mission—to enhance Murata’s world-class capabilities with high-performance semiconductors. With a strong foundation in RF integration, pSemi’s product portfolio now spans power management, connected sensors, optical transceivers, antenna tuning and RF frontends. These intelligent and efficient semiconductors enable advanced modules for smartphones, base stations, personal computers, electric vehicles, data centers, IoT devices and healthcare. From headquarters in San Diego and offices around the world, pSemi’s team explores new …

Type: SMB Activities: deeptech manufacturing Technologies: Semiconductors IoT

1 0 1 519
Nanusens Nanusens

We are the pioneers of sensors built inside CMOS enabling us to create the world's smallest sensors. Nanusens is pioneering sensors built inside CMOS. Nanusens patent-pending technology shrinks MEMS sensors and builds nano-scale sensors (NEMS – Nano Electro Mechanical Systems) along with the control electronics using standard CMOS processes. This creates single chip solutions that are up to ten times smaller than the equivalent multi-package MEMS. The freed-up space can be used for larger batteries for longer operational life battery or additional features. Many different sensors can be built into the same tiny chip to enhance the user experience and …

Type: Startup Activities: deeptech nanotech manufacturing Technologies: Sensors Wireless 5G

1 0 1 28
ERS electronic GmbH ERS electronic GmbH

Munich-based award-winning manufacturer of thermal management solutions for the semiconductor industry ERS electronic GmbH, based in the Munich suburb of Germering, has been providing innovative thermal management solutions to the semiconductor industry for more than 50 years. The company has gained an outstanding reputation, notably with its fast and accurate air cooling-based thermal chuck systems for test temperatures ranging from -65 °C to +550 °C for analytical, parameter-related and manufacturing probing. In 2008, ERS extended its expertise to the Advanced Packaging market. Today, their fully automatic and manual debonding and warpage adjust systems can be found on the production floors …

Type: SMB Activities: Industry 4.0 manufacturing Technologies: Semiconductors

1 1 1 60
Smartkem Smartkem

A new class of semiconductor polymer transistors with the capability of powering the next generation of displays Smartkem makes a new class of semiconductor polymer transistors with the capability of powering the next generation of displays and changing the world as we see it. Our semiconductor and dielectric inks are solution deposited with a low temperature printing process compatible with today’s display manufacturers’ existing infrastructure to produce lower-cost, higher performing displays. Our semiconductor platform can be used in a number of display technologies including microLED, miniLED and AMOLED for next generation screens. organic semiconductors, thin film transistors, otft, display technology, …

Type: Startup Activities: deeptech manufacturing Technologies: VR

1 0 1 27
Aito Aito

Bringing Surfaces to Life We are developers of patented and award-winning Piezo Sensing and Haptics technology who are on a mission to offer unparalleled user experience by bringing surfaces to life with tactile feedback to help consumer electronics brands create new and innovative products, offering differentiation in a crowded marketplace. Haptic feedback, Embedded software, User interface controls, Micro controllers, Consumer devices, Touch controls, Wearables, Electronics, piezosensing, and human interface

Type: Startup Activities: IT Services Technologies: SaaS

1 1 0 87
Antaios Antaios

Antaios, the SOT-MRAM Pioneer. Antaios develops a disruptive memory technology based upon Spin-Orbit Torque (SOT) phenomenon. Although innovative in its underlying principles, SOT memories rely on similar core technologies (materials, process) as the existing STT-MRAM currently being deployed at major IDM/foundries. Antaios SOT technology combines very high speed, endurance, and non-volatility. Antaios will license its technology to semiconductor chip manufacturers, helping them solve the critical issue of exploding power requests for advanced computing, from PC and servers to smartphones, tablets, and other mobile devices. SOT-MRAM

Type: Startup Activities: IT Services Technologies: New Materials

1 1 0 10
Accelize Accelize

TURN YOUR BIT-STREAMS INTO REVENUE-STREAMS Accelize facilitates the protection, monetization and deployment of FPGA Accelerators in data centers (Cloud and On-Premises) through DRM (Digital Rights Management) IP on an unique Distribution platform Accelize offers a DRM-powered distribution platform for FPGA-accelerated Apps and IP cores that boost revenue through instant, scalable and secure deployment to customers around the globe. FPGA, Workload Acceleration, High-Level Design, FPGA Acceleration as a Service, Cloud Computing, Data analytics, Big Data, High Performance Computing, DRM, on-premise, Secure Deployment, monetization, FPGA Acceleration as a Service, Encryption, Compression, Deep Learning, and Image Processing

Type: Startup Activities: IT Services Technologies: Data Analytics

1 0 0 3
Deep Detection Deep Detection

Better inspection for safer products. Multispectral photon counting x-ray inspection for industry Deep Detection manufacture multispectral x-ray cameras to detect just about anything you need to on high speed continuous production lines. We specialise in food and beverage inspection, detection of light weight plastics and measurement of product characteristics, including composition. light weight plastics, low density materials, non-homogeneous substrates, correct product checks, material identification, characterisation of attributes, composition, physical parameters, food quality, fruit and cereals maturity, lean meat content, low calcified bones, high production speeds, deep bed inspection, imiscible compounds , fresh, frozen, solid, liquid, composites assembly, detectors, photon counting, …

Type: Startup Activities: foodtech manufacturing Technologies: New Materials

1 0 1 19
ePIC Blockchain Technologies ePIC Blockchain Technologies

Blockchain chips, custom Bitcoin rigs, and fleet enhancement technologies. ePIC Blockchain designs innovative blockchain semiconductors offering high performance and power efficiency. Our end-to-end system philosophy covers architecture planning, specifications development, verilog coding, FPGA validation, logic synthesis, circuit board design, firmware and driver development, RTL/GDSII hand-off, supplier qualification and semiconductor supply chain management. blockchain, crypto, technology, bitcoin mining, crypto mining, and semiconductors

Type: Startup Activities: IT Services nanotech Technologies: Blockchain/Cryptocurrency Semiconductors

2 0 1 20
MonolithIC 3D Inc. MonolithIC 3D Inc.

MonolithIC 3D Inc. is an IP company with operations in Silicon Valley, Romania and Israel. It invented and developed a practical path to the monolithic 3D Integrated Circuit, which includes multiple derivatives for Logic, Memory and Electro Optic devices. 3D IC

Type: Startup Activities: deeptech nanotech Technologies: Semiconductors

2 0 0 6
Insightness Insightness

Sight for your device With the "Silicon Eye"​ systems, Insightness develops high-speed, low-power spatial awareness systems based on brain-inspired technology. These systems (vision chip and software) outperform conventional machine vision approaches in efficiency and allow faster reaction times for mobile robots and drones as well as a lag-free augmented reality experience on smart glasses. Event-Based Computation, Machine Vision, Mixed Signal Design, Neuromorphic Engineering, Computer Vision, and Vision Sensors

Type: Startup Activities: deeptech nanotech Technologies: A.I. - Image Processing Robotics VR A.I. Drones Sensors

2 2 0 1
Farsens Farsens

Focused on battery-free RFID wireless sensor solutions, we are a leading provider of UHF RFID chips for IoT applications FARSENS IoT is a technology based company focused on the design and commercialization of wireless solutions. Our core activities involve the development of wireless sensor network systems. As part of our products, we have developed full passive wireless sensing solutions, which work without batteries. Typical applications include rotor temperature monitoring, battery-free tire pressure monitoring systems, structural health monitoring in construction or battery-free pick to light in warehouses. For more information, please check our website: http://www.farsens.com Battery free RFID sensors, Wireless Sensor …

Tags: H2020 Type: Startup Activities: deeptech nanotech Technologies: Sensors Wireless Batteries IoT

2 1 1 4
AIM Photonics AIM Photonics

Accelerating the transition of America's integrated photonics solutions from innovation to manufacturing The American Institute for Manufacturing Integrated Photonics (AIM Photonics), is an industry driven public-private partnership that focuses the nation’s premiere capabilities and expertise to capture critical global manufacturing leadership in a technology that is both essential to national security and positioned to provide a compelling return-on-investment to the U.S. economy. The Institute’s goal is to emulate the dramatic successes experienced by the electronics industry over the past 40 years and transition key lessons, processes, and approaches to the photonic integrated circuit (PIC) industry. AIM Photonics supports small and …

Type: NGO, Think-tanks, Ed, & Culture Activities: Industry 4.0

2 0 2 31
Insight SiP Insight SiP

It's all in the package Insight SiP is a fabless RF system-in-package (SiP) company. Insight SiP provides turn-key design services and creative packaging solutions Mission The increased demand for wireless connectivity in portable electronic devices has driven manufacturers to deliver ever-smaller, more cost effective solutions. This makes the integration of RF into a single product more and more complex and increases technical risk while in the mean time the product development cycle has to be reduced. At Insight-SiP we rapidly solve these challenges. Based on a system-in-package approach we make complex RF systems easy to integrate in any existing or …

Type: SMB Activities: deeptech nanotech Technologies: Wireless

2 1 2 15
Andes Technology Corporation Andes Technology Corporation

Andes Leading the RISC-V Revolution As a Founding Premier member of RISC-V International, Andes Technology Corporation is now a leading embedded processor intellectual property supplier in the world. We devote ourselves to developing high-performance/low-power 32/64 bit processors and their associated SoC platforms to serve the rapidly growing embedded system applications worldwide. As the features of electronic devices are becoming more and more versatile, customers demand new generations of SoC solutions for better scalability, flexibility, performance, cost, and power saving. However, the diversity of the emerging consumer market has driven sophisticated design requirements that incurs vital difficulty for traditional component providers …

Type: SMB Activities: deeptech nanotech Technologies: Embedded Systems

2 0 1 219
Atmel Corporation Atmel Corporation

Atmel has been acquired by Microchip Technology Inc., a leading provider of mixed-signal, analog and Flash-IP solutions that offers low-risk product development, lower total system cost and faster time to market for thousands of diverse customer applications worldwide. Please visit www.microchip.com for the latest information on products and services from both organizations. Microcontroller Solutions (8- and 32--bit), Automotive Solutions, Touch Technology Solutions, Embedded Software - Studio 6, Wireless Solutions, and Internet of Things Solutions

Type: Large company

2 1 0 1,865
Tronics Microsystems Tronics Microsystems

Tronics designs and manufactures standard & custom inertial MEMS sensors for demanding industrial & dynamic applications Tronics Microsystems, a TDK Group Company, is a manufacturer of standard and custom high-performance MEMS inertial sensors. Addressing high-growth markets such as industrial, railway, aerospace, marine, oil and gas and land transportation, Tronics' products enable precision navigation, stabilization, positioning, motion & tilt control of dynamic applications operating in demanding environments. For the development of custom inertial MEMS sensors, Tronics offers its Magelan Foundry Services powered by a state-of-the-art and high-end capacitive inertial MEMS platform. Founded in 1997, Tronics is located in Crolles, near Grenoble …

Type: SMB Activities: deeptech manufacturing nanotech Technologies: Sensors

2 0 2 72
Nexperia Energy Harvesting Nexperia Energy Harvesting

Nexperia Energy Harvesting Solutions, powered by Nowi technology. Please also follow us at @Nexperia Nexperia Energy Harvesting Solutions (former Nowi) was acquired by Nexperia in November 2022. Headquartered in Nijmegen, The Netherlands, Nexperia is a leading expert in the high-volume production of essential semiconductors, components that are required by every electronic design in the world. The manufacturing capacity and capability of Nexperia as well as its global infrastructure will enable higher volume production and shipping of the energy harvesting solutions. RF energy harvesting, energy harvesting, power mangement ic, ultra low power management, energy harvesting IC, energy harvesting watch, Solar Energy …

Type: Startup Activities: cleantech greentech Technologies: Batteries IoT Semiconductors Solar Technologies

2 0 2 18
Pilot Photonics Pilot Photonics

Optical combs, lasers, photonic circuits, Lidar and more... Pilot Photonics Ltd offers unique optical comb source subsystems that it develops as a platform technology applicable to many markets including communication, spectroscopy, sensing, and metrology. The company has deep IPR around the generation and application of optical combs which it couples with a powerful capability in photonic integration to produce the world's smallest and most versatile comb sources. Pilot Photonics, Ltd. is headquartered at Invent, a state of the art Innovation and Enterprise Centre located on the Dublin City University campus in Dublin, Ireland. It has launched its first commercial products …

Type: Startup Activities: deeptech manufacturing Technologies: SaaS

2 0 2 18
Flexciton Flexciton

The intelligent advantage platform for streamlined chipmaking. Flexciton is the intelligent advantage platform for streamlined chipmaking. We are an award-winning company that has developed next-generation technology which optimizes semiconductor wafer fabs – the home of the world’s most complex manufacturing process. In an increasingly electronic world, the sheer complexity of microchip production is a key barrier to technological progress. By utilising advanced mathematical optimization, we’re proving there’s a smarter way to run wafer fabs. Are you interested in joining a world-class team of engineers, scientists and commercial minds that are paving the way for tomorrow’s tech? Visit careers.flexciton.com to view …

Type: Startup Activities: IT Services Technologies: Semiconductors

2 0 3 50
EM Microelectronic EM Microelectronic

empowering connected things EM Microelectronic is a semiconductor manufacturer specialized in the design and production of ultra low power, low voltage integrated circuits for battery-operated and field-powered applications in consumer, automotive and industrial areas. We have 35 years experience in the design of IC processing analog and digital signals simultaneously. Our product portfolio encompasses Bluetooth Low Energy, 2.4GHz digital wireless, RFID circuits, smart cards ICs, ultra-low power microcontrollers, power management, LCD drivers and displays, sensor and optoelectronic ICs and standard analog ICs. We are part of THE SWATCH GROUP Ltd since 1985. With strong foundations in the high volume consumer …

Type: SMB Activities: manufacturing Technologies: Batteries NFC Semiconductors Wireless

2 0 1 419
GreenPeak Technologies GreenPeak Technologies

GreenPeak has been acquired by Qorvo. Please start following the LinkedIn Qorvo page for posts about ultra-low power wireless communication chips for Smart Home application: https://www.linkedin.com/company/qorvo . GreenPeak is a leading fabless semiconductor company offering innovative ultra-low power wireless data communication controller chips for consumer electronics and wireless sense and control applications for Smart Home applications and the Internet of Things. GreenPeak’s award-winning, innovative technology is based on the IEEE 802.15.4/ZigBee wireless networking standard. GreenPeak is located in The Netherlands, Belgium, France, Korea, China, India and USA. => GreenPeak - Building the Smart Home! Follow us on Twitter https://twitter.com/QorvoInc IEEE …

Type: SMB Activities: constructiontech smart home Technologies: IoT Semiconductors Wireless

2 1 0 12
VeriSilicon Holdings Co., Ltd. VeriSilicon Holdings Co., Ltd.

Silicon Platform as a Service (SiPaaS) VeriSilicon Microelectronics (Shanghai) Co., Ltd. (VeriSilicon, 688521.SH) is committed to providing customers with platform-based, all-round, one-stop custom silicon services and semiconductor IP licensing services leveraging its in-house semiconductor IP. Under the unique "Silicon Platform as a Service" (SiPaaS) business model, depending on the comprehensive IP portfolio, VeriSilicon can create silicon products from definition to test and package in a short period of time, and provides high performance and cost-efficient semiconductor alternative products for fabless, IDM, system vendors (OEM/ODM), large internet companies and cloud service provider, etc. VeriSilicon's business covers consumer electronics, automotive electronics, computer …

Type: SMB Activities: legaltech telecommunications Technologies: A.I. IoT Semiconductors

2 0 0 494
Weebit Nano Ltd Weebit Nano Ltd

Fast, low-power, easy to manufacture non-volatile memory Incorporated in Israel in 2015, Weebit was built to address the growing need for data storage and Embedded NVM technology around a revolutionary Memory technology invented by Professor James Tour of Rice University. Prof. Tour is a world-renowned leader in the field of materials engineering and nanotechnology. Weebit has secured several patents to ensure optimal commercial and legal protection for its ground breaking technology. This quantum leap will allow semiconductor memory elements to become faster, more reliable energy efficient and cost effective NVM than the existing Flash technology. Weebit has signed an R&D …

Type: Startup Activities: deeptech manufacturing nanotech Technologies: Semiconductors

2 1 1 38
Vector Photonics Vector Photonics

The inventor of all-semiconductor PCSELs, a revolution in compound semiconductor laser technology. Vector Photonics’ PCSELs are the first, major break-through in semiconductor laser technology for 30 years. The company is a spin-out from one of the world’s leading academic groups in Photonics at the University of Glasgow. Its proprietary technology, developed since 2012, places Vector Photonics at the heart of the rapidly growing datacenter industry. PCSELs (Photonic Crystal Surface Emitting Lasers) are low cost, robust with high speed and power. This combination of key characteristics gives them a huge advantage over present-day, commonly used VCSELs (Vertical Cavity Surface Emitting Lasers), …

Type: Startup Activities: deeptech Technologies: Semiconductors

2 1 2 16
ScioSense ScioSense

Sensing tomorrow’s world ScioSense: for the highest performance in environmental and flow sensors. The ScioSense portfolio of environmental and flow sensors is aimed at demanding users in the automotive, industrial, building automation, and consumer device markets which want compact, low-power board-mount products and superior sensor performance. The high accuracy, precision and stability of ScioSense products is the result of four decades of experience in sensor development. The products also benefit from the deployment of innovative technologies such as the TrueVOCTM quad-hotplate gas sensor element in the ENS160 air quality sensor, and the phase-jump measurement technique in the AS6040 and AS6031 …

Type: Startup Activities: deeptech Technologies: Sensors

2 0 1 92