Entity
  • Anglo-Gulf Trade Bank

    Created in 2017
  • BETA

    Up & running (A)
    Existing signals show a regular activity
  • Social networks

    43 6,107
  • Activities

  • Technologies

  • Entity types

  • Location

    Regus ADGM Square, Maryah Island Al Maqam Tower, 34th & 35th Floor - جزيرة المارية - مربعة الصوّة - أبو ظبي - United Arab Emirates

    أبو ظبي

    United Arab Emirates

  • Employees

    Scale: 11-50

    Estimated: 3

  • Engaged corporates

    1
    1 0
  • Added in Motherbase

    4 years, 1 month ago
Description
  • Value proposition

    A fully digital corporate bank working to make business easier by fundamentally rethinking the approach to banking.

    Anglo-Gulf Trade Bank (AGTB) Limited is a fully digital corporate bank licensed and regulated by the Financial Services Regulatory Authority of Abu Dhabi Global Market (ADGM). Launched in 2019, AGTB is also the world’s first digital trade bank, a focus which presents the greatest opportunity for disruption within corporate banking.

    Our purpose is to make business easier by fundamentally rethinking the approach to banking, free from legacy constraints. AGTB aims to deliver a simplified, client centric proposition through intelligent use of data and technology. We operate within a collaborative and intuitive ecosystem that continuously evolves to meet our clients’ needs.

    Corporate Banking, FinTech, Trade Finance, Simplifying, Digitizing, ☐ Thinking, and Collaboration

Corporate interactions BETA
Corporate TypeTweets Articles
Bolero International (WiseTech Global Group) Bolero International (WiseTech Global Group)
Software Development
Not capitalistic
Not partnership
Event

8 May 2019


Similar entities
Loading...
Loading...
Social network dynamics